Zephyrnet Logo

Industry Luminaries Highlight Opportunities For Advancing The Non-EUV Leading Edge

Date:

The eBeam Initiative’s 12th annual Luminaries survey in 2023 reported a range of nodes from >5nm to 14nm as the most advanced non-EUV nodes using 193i lithography. A panel of semiconductor photomask and lithography experts debated several of the survey results, including this one, to provide more insights behind the results. Aki Fujimura, CEO of D2S, Inc., the managing company sponsor of the eBeam Initiative, moderated the 2023 panel discussion which took place during the SPIE Photomask Technology Conference in October. He was joined by Chris Progler, CTO of Photronics; Glen Scheid, Operations Manager at the Micron Mask Technology Center; and Harry Levinson, Principal Lithographer at HJL Lithography (picture below). In the discussion summarized here, panelists cited several factors driving 193i down to smaller nodes starting with the high cost of EUV, the potential of curvilinear 193i masks to replace one or more EUV layers, and the availability of multi-beam mask writers and new laser writers that can write curvilinear 193i masks.

Photo left to right: Aki Fujimura, Chris Progler, Glen Scheid, Harry Levinson.

Fujimura: The leading edge for semiconductors has changed. The “bleeding edge” isn’t required for many high-volume applications today. Many end products seek non-EUV chips. Now, 193i and 193 dry have leading-edge nodes as well. In the survey question shown in figure 1, luminaries projected the 193i leading edge nodes to be in the range of >5nm to ≤14nm. As a merchant mask maker, what do you think, Chris?

Fig. 1: Luminaries project the most advanced 193i leading-edge nodes today and in 2030.

Progler: We see the opportunity to extend 193i to a couple more layers to make a better chip, even below 7nm. We have several customers saying “if I can get this one layer to look like 5nm using 193i, it carries along the performance for a lot of the other functionality.”

Levinson: There are a lot of exciting things happening with 193i to allow you to shrink nodes. There are also new applications that are a great fit for 193i. Take quantum computing, which needs outrageously low levels of line edge roughness but doesn’t need small features. Our industry has become dynamic across the board and it’s fun to watch!

Scheid: We’re continuing to develop 193i. There are several new developments to help us get more out of 193i including curvilinear masks, low-sensitivity resist, and multi-beam mask writers. We’re continuing to push for better registration with 193i masks. Given the cost of EUV, you’ll choose 193i if you can.

Fujimura: Speaking of the cost of EUV, we asked a new question to try and capture the relative cost versus 193i for seven aspects of mask making, as shown in figure 2. Are there any surprises here? I was surprised about OPC and MPC. What do you think, Harry?

Fig. 2: Luminaries provide a relative cost comparison for EUV vs. 193i mask making.

Levinson: For anyone reading the papers from over 20 years ago, you could see this cliff coming! Computational lithography for EUV is a new level of complexity. Take mask 3D effects as an example. You must have computational solutions if you’re serious about EUV.

Fujimura: Glen, you just presented a great analysis of the impact of EUV on costs for the mask maker. Anything you’d like to add?

Scheid: Something I didn’t focus on in that talk is equipment uptime. It has a big impact on cost. If we need redundant equipment, it adds up very quickly.

Progler: The chart says it all – EUV is very expensive! There are no real surprises in the survey result. When I look at the numbers, the mask industry spent about 40% of its size in capital spending, mostly to bring up .33 NA EUV. It’s typically around 20% for the whole of the semiconductor industry. With High-NA EUV, I expect it will go up to 40-50% for the mask industry. Yet, according to Tech Insights, only 7% of the total masks will be EUV by 2027. You’re going to need the right chip and make a lot of them to justify the cost of EUV.

Fujimura: You need 30,000 NVIDIA H100 full-reticle chips to train ChatGPT, and all the big companies now must try to do that.

Let’s turn to curvilinear masks now. Luminaries were clear that curvilinear is for 193i as well as EUV, something Glen has talked about. So where are we with supporting curvilinear mask making overall? According to the survey results in figure 3, luminaries are very confident that today’s demand for curvilinear masks can be met. Glen, what do you think?

Fig. 3: Luminaries express confidence in making curvilinear masks to meet demand.

Scheid: We still need a definition of goodness for curvilinear masks.

Levinson: When I look at the math for curvilinear, it’s not simple.

Fujimura: Will curvilinear extend to nodes written by laser writers, Chris?

Progler: Curvilinear masks will have a positive impact on mid-range nodes. You’ve got the ability for the new laser writer to write curvilinear masks and the news that there’s a multi-beam mask written designed for merchant mask makers. This will give us a more economical way to write curvilinear masks.

Fujimura: Let’s keep talking about 193i and turn to investing in new equipment specifically for 193i. Luminaries said the highest growth would come from multi-beam mask writers and mask inspection in the coming 3 years, as shown in figure 4. What else can you say about this?

Fig. 4: Luminaries predict investment to increase in mask writers and inspection tools for 193i usage only.

Scheid: With so many new fabs coming online and mask volumes increasing in the second half of this decade, it doesn’t surprise me that e-beam multi-beam mask writers are the highest on the list for new investment. It’s always preferred to write critical layers on the highest end tools we have available. If you have flexibility of the capital you’re putting in where you can run both lower and higher end, you’ll almost always default to the higher end capital.

Progler: I agree with Glen, it’s not surprising. The laser writer industry has been interesting over the past few years. Mycronic entered it and sold more than 40 tools. Clearly there’s a lot of pent-up demand for laser writers. As I calculate it, with just those 40 tools, it puts around 150,000 mature mask units into the system. That’s a lot of mask units and they’re still selling tools. I must assume a lot of them are replacing aging tools including variable-shaped beam (VSB) writers. Demand will go up. With access to high quality laser writers that are fast, they’ll be used for new applications including curvilinear shapes.

Fujimura: So, some are replacing old VSB mask writers?

Progler: If I had to guess, 50% of the laser writers are probably a replacement for EOL tools including e-beam VSB tools. But there’s a lot of new capability for laser written masks and people will put it to good use.

Scheid: Not clear everyone in the world has access to purchase multi-beam writers. On the increase in e-beam VSB writer investment, some may be responding in this manner because that’s what’s accessible to them. For that purpose, we’ll see this for a long time.

Levinson: This survey question was asked in July before the news that a lower cost multi-beam tool from IMS is being installed at AMTC in Dresden. How do you make use of your fab and not go to EUV? Curvilinear features are a nice approach to extend optical, resulting in the need to write curvilinear masks but not EUV masks. So, I’ll be staying in touch with my old colleague in Dresden to see how that turns out.

Fujimura: Let’s finish on this result about the prospects for the mask market, shown in Figure 5. SEMI is predicting a 3% contraction while the Luminaries said the market size will stay about the same. What do you think about this year and the future?

Fig. 5: Luminaries predict the mask market will stay about the same size in 2023.

Progler: Both SEMI and Tech Insights have photomasks flat or shrinking a little bit this year, which is a lot better than the broader semiconductor industry. Most of what we’ve seen suggests that the photomask industry comes back later in 2024, a little later than originally projected. Photomasks have been a pretty good place to be. If Photronics stays on track for 2023, it will be our sixth consecutive year of record revenue.

Scheid: 2023 has been a tough year for memory. One of the worst for a long time. We’re definitely in the red section of the chart. Very happy to see the broader industry say “stay about the same size.” The mask industry doesn’t necessarily track the semiconductor industry one for one but this year we saw an impact. 2024 should look brighter. Longer term, we definitely see demand picking up, especially as we add new wafer fabs. That’s not just for Micron, that’s broadly across the industry. Forecasting is still difficult. On the EUV mask side, how many copies are needed, how many layers are going to convert, how many are going to be 193i vs EUV? Difficult to see one year out but long term, we see an upward trend.

Levinson: In logic, when there’s a downturn that’s not too severe, the impact is less simply because the number of masks you make correlates with number of designs, not wafer volumes. We see reasons for more designs. When you go from a CPU to a gate array to an ASIC, you have energy savings. This is important now. If you save 10 watts on a chip, if you make 100 million of them, it’s gigawatts and the impact can be great. This will drive more new designs in the industry as we go forward.

spot_img

Academic VC

VC Cafe

Latest Intelligence

spot_img