Zephyrnet Logo

EDA Back On Investors’ Radar

Date:

EDA is transforming from a staid but strategic sector into a hot investment market, fueled by strong earnings and growth, a clamoring for leading-edge and increasingly customized designs across new and existing markets, and the rollout of advanced technologies such as AI for a range of tools that will be needed to develop new architectures with much greater performance per watt.

A confluence of events has resulted in one of the best years ever for the major EDA players, not only for how well they have done this past year in terms of sales, profits or stock price, but also for how it could fare in the future. As recently reported by SEMI’s Electronic System Design (ESD) Alliance, industry revenue increased 25.2% to $4,702.4 million in the third quarter of 2023, up from the $3,756.3 million logged in the third quarter of 2022. The four-quarter moving average, which compares the most recent four quarters to the prior four, rose 13.8%. Almost all segments and regions saw significant growth, and there has been a rapid rebound from the softness at the beginning of the year.

Even that softness was contained for the big EDA players. The downturn was felt more strongly by small companies. “In early 2023, we had the economic correction,” says Prakash Narain, president and CEO of Real Intent. “Things slowed down, but affected startups more than it affected some of the larger companies. Then it picked up. Now we see a lot more activity happening in the system companies. That is actually very exciting because they need custom silicon. That means more design work happens, and that’s just great news for the EDA industry. It was a good year overall in the sense that the dip was balanced out by the upswing in the later part of the year.”

This transformation is driven by several factors. The massive growth in ChatGPT, which only began last year, requires a significant increase in total compute power available, as well as diversified engines to satisfy that need. CPUs are being replaced by heterogeneous computing, and we see the cloud becoming a mix of CPUs, GPUs, AI processors, custom accelerators, FPGAs, and more. Many of these new processors are being developed either by startups or by the hyperscalers, which are essential for improved efficiency across different classes of computations.

Many of these custom processors are outgrowing the reticle limit, forcing chipmakers to decompose different features using some type of advanced packaging, such as 2.5D, 3D-ICs, and dense fan-outs with pillars. This requires more advanced EDA tools, including some new tools, as well as the utilization of technologies from other domains. Some even address issues that were never seen with chip design before. Multi-physics is a term that is now popping up everywhere.

A third driver involves accelerating synergies between EDA and the systems space. Siemens was the first to leverage this opportunity, and other have followed. “Synopsys wants to start thinking about things at a system level, and they want to bring in other disciplines into the fray,” says Chris Mueth, director of new markets management for Keysight. “Complexity is growing exponentially, but the workforce isn’t. That leaves a gap. So how do you deal with something that’s growing exponentially in complexity when you’re not able to scale your workforce? You have to automate workflows. You have no choice. You have to tear down the walls and get everything connected and working together. And it’s not going to be one vendor either. There’s no way a single vendor can deal with all these different things, for a number of different reasons. It is an interoperability play and involves the whole ecosystem.”

EDA and RISC-V
Another transformation is the rapid adoption and acceptance of RISC-V. As the ecosystem grows and matures, an increasing number of companies are taking the plunge to develop their own custom processors, and they are desperate for tools. These types of tools existed in the past, but dwindled when Arm became the dominant supplier in the CPU IP market. While extensible processor development tools continued to exist within Cadence (Tensilica) and Synopsys (ARC), they were fairly limited in their reach. That is now extending.

“The RISC-V Exchange has grown over 40% since the beginning of 2023, and we expect to see many new and innovative RISC-V products hit the market in 2024,” says Calista Redmond, CEO for RISC-V International. “I’ve been really impressed with the community’s significant technical progress, ratifying 16 specifications with many more on the way. We also saw major RISC-V announcements across a variety of segments. For example, Meta shared that the company is using RISC-V for video transcoders, inference accelerators, and training chips. Additionally, Qualcomm highlighted that it has shipped one billion devices with RISC-V microcontrollers and is bringing to market a RISC-V based wearables solution to Wear OS by Google.”

Some issues with RISC-V do remain, but even these are good for EDA. “Some realization is settling in about verification,” says Frank Schirrmeister, vice president for solutions and business development at Arteris. “Freedom to innovate comes with a great responsibility to verify, and the RISC-V ecosystem will require further maturation to support growth. In addition to verification, users pointed out at the RISC-V Summit that aspects like IOMMUs, debug and trace, interrupt controllers, power management, and SoC-wide coherency need ecosystem work to support continued RISC-V growth.”

Late in 2023 Synopsys quietly acquired Imperas, the leading provider of RISC-V reference models and a growing suite of verification tools targeting RISC-V. In addition, Synopsys announced ARC-V, a venture that takes their years of experience with the ARC extensible processor and applies that to the RISC-V architecture.

While open-source hardware is gaining traction, open-source EDA is not. “Good EDA takes a lot of money,” says Ashish Darbari, founder and CEO of Axiomise. “The adopters of EDA are companies who are using those tools because they care about quality and time to market. They want to get it right first time. Those companies, especially the old silicon houses of the world, are not opening themselves up to new open source, slightly cheaper forms of EDA. Open-source EDA hasn’t cut it yet for the RISC-V space, unfortunately. The biggest reason for this is that the RISC-V silicon vendors, and all the companies building silicon, are not investing in open source EDA.”

AI within EDA
EDA has a new arrow in its quiver — AI. EDA companies have been selectively adopting AI over the past decade, but its usefulness is expanding.

The type of AI used within EDA may not be as sexy as generative AI, as demonstrated by tools like ChatGPT. Nevertheless, reinforcement learning has been shown to be good at replacing some of the more repetitive tasks currently performed by humans, especially when complex relationships between many factors is concerned.

It is less likely that AI will be replacing designers. “AI is trained,” says Dean Drako, CEO of IC Manage. “If you train your AI, it learns from what’s already been done. If I train AI with 1,000 designs of an adder, it knows what an adder is. Then I can ask it to design an adder with a defined set of characteristics. But I’m not going to get AI to come up with the idea of chiplets, because it was never done before. The most important part of what we do in the EDA world, and in the design world, is to come up with new things. Every time we make a new chip, we’re inventing something that is better than the last one. We’re not doing the same thing. AI is going to be very good at doing repetitive tasks.”

Engineering is a combination of creative and methodical. “The creative part is relatively small,” says Real Intent’s Narain. “Then there’s the methodical part, where you enter the code, create that functionality, test it. That takes a lot of engineering time. There is a movement toward increasing engineer productivity. By automating more of the methodical, you are giving them more time to consider other dimensions.”

It can provide some real gains, as well. “The Big Four came out with AI enhancements over the past year,” says Rich Goldman, director at Ansys. “Companies like Synopsys, which have been doing logic synthesis for 40 years, suddenly provide better results because of AI. It’s just amazing that something like that could change so much.”

Much of those gains come from utilizing reinforcement learning. “But now generative AI is unlocking a whole new level of capabilities,” says Neil Hand, director of marketing, IC segment at Siemens EDA. “That trajectory has been impressive, not just from what it’s unlocked in technical terms, but what it’s unlocked in perception for the users. If you go back a couple of years, people didn’t really care about how something was done, as long as it got done. If you talked about machine learning, people were a little skeptical, and didn’t fully trust it. Now that’s blown away completely. People trust AI and ML. People want to know what you’re doing. They want to get behind the screen and understand what technologies are being used.”

There are many more possibilities ahead. “One of the challenges I face as an EDA tool designer is the human interface,” says Narain. “We compute a lot of information that needs to be presented to the user. AI can automate the part of the process that automatically adjust parameters based upon patterns that we as humans cannot see — the ability to find patterns in the unknown. That can be a long process.”

EDA finds respect
EDA has not been seen as a dynamic investment-worthy industry for a significant time. “Semiconductors and EDA are cool again,” says Siemens’ Hand. “That is probably one of the biggest surprises to me, after years of being less front and center. Even though we have been changing the world on a daily basis, we have not been seen. Last year we had semiconductor people at the White House, we had EDA people talking with the President. We have suddenly become visible.”

That has been reflected in valuations. “It was very nice to see the public markets finally acknowledging the contribution that EDA makes,” says Narain. “EDA has been undervalued for so long. I have to credit the management of the larger companies who have been instrumental in making this happen.”

Is it too much of a good thing? “I am surprised by the market caps of the EDA companies,” says IC Manage’s Drako. “They are ludicrously high. It’s just unbelievable. Somewhat justified or overdue, maybe. The EDA business is the enabling business for everything in our life, and yet the EDA industry has really been a backwater that didn’t make a lot of money and didn’t get a whole lot of respect. It’s taken us 50 years, but the respect and the money that is coming to the EDA world is long overdue.”

High valuations tend to make acquisitions more likely, and we have certainly seen an increase of activity in that area. If the recently announced merger of Synopsys and Ansys closes, it will be by far the biggest corporate transaction seen for a very long time.

A cloudy horizon
But there is at least one cloud on the horizon. The same forces that are making EDA more visible are also tied up with geopolitical posturing that could have long-term implications. “I’ve got a list of about 15 national initiatives, in different countries, for funding into semiconductor and EDA,” says Hand. “The CHIPS Act was just one example. In return, that’s also created headwinds, because one of the large markets is China. How do you deal with export restrictions? How do you navigate those so you’re still enabling them to do what they are allowed to do, but doing it in such a way that we’re not violating any restrictions?”

There are plenty of fabs being built. “The CHIPS Act is accelerating the semiconductor foundry construction plans, which may have a significant impact on the semiconductor cycles,” says Ansys’ Goldman. “It takes a while to build a new foundry. You’re seeing foundries in Arizona with TSMC and Intel, as well as Ohio and central New York, and places we haven’t seen them before. In EDA, we’ve seen a lot of effort behind the scenes on where the money goes, but the money hasn’t been released yet. I think we’ll see that impact over the next year.”

All that extra fab capacity needs to be filled somehow, if those fabs are to be economically viable. “With the CHIPS Act, they’re looking for the democratization of chip design, and access to tools and libraries and IPs, so that more teams can do advanced chip design,” says Marc Swinnen, director of product marketing at Ansys. “They also want to have advances in EDA, with research in the universities, and they need the EDA vendors to form a consortium where they all jostle a bit uncomfortably next to each other in the same bucket of availability. There’s a lot of trailblazing that needs to be done. What it is they want from the design side, and how we get there, is being worked out right now.”

For every action there is an equal and opposite reaction. “What is going to happen is an unintended consequence,” says Drako. “Most EDA tools are made by American companies. Those tools are so advanced, containing so much knowledge, that no one attempts to try and recreate them. But with the trade challenges between China and the U.S., the Chinese are going to put a very significant effort into developing EDA tools. We are basically creating a competitor, or creating a second source, or creating another player in the EDA tool space.”

As we have seen within traditional EDA, when one company gets far enough ahead, it is difficult if not impossible to catch up to them, so long as they keep investing. “Sometimes, when you build from the ground up, you can do a better solution because you can use better technologies when you’re starting,” adds Drako. “But other times, when there’s a lot of stuff going on, it’s very hard to start from scratch and build a better, faster solution, because there’s so much knowledge and effort in lessons learned that has gone into a pile of code. It takes a long time to catch up.”

Conclusion
It was a great year for EDA no matter which way you look at it. New technologies and new opportunities have ensured another few good years are ahead. How will the industry respond if a new competitor emerges? That chapter is yet to be written.

spot_img

Latest Intelligence

spot_img