Logo Zephyrnet

Thảo luận về Intel và TSMC IDM 2024 – Semiwiki

Ngày:

TSMC Intel

Vào tháng 2023 năm XNUMX, chúng tôi đã công bố dự báo Doanh thu của Intel về doanh số bán tấm bán dẫn bên ngoài, đồng thời đưa ra thông tin chi tiết về cách khách hàng dự định mở rộng xưởng đúc. Dự báo vẫn hợp lệ (giả định Intel thực hiện tất cả các kế hoạch) nhưng kể từ đó, chúng tôi hiểu rõ hơn về chiến lược của Intel và các tình huống có thể xảy ra.

Các kịch bản dựa trên điểm mạnh và điểm yếu của Intel khá khác so với TSMC và khá khác so với những gì chúng tôi mong đợi 2-3 năm trước.

Bối cảnh:

Trong năm 2019-2021, rõ ràng là Intel đã đi sau TSMC về mặt công nghệ và họ cần phải bắt kịp hoặc chỉ thuê TSMC/Samsung/người khác gia công mọi thứ. Intel BU phàn nàn về sự chậm trễ và chi phí công nghệ và muốn hợp tác với TSMC.

• Có vẻ như Intel sẽ chuyển sang thuê ngoài, nhưng Pat đã thay đổi kế hoạch dựa trên các cuộc thảo luận vào năm 2021. Intel sẽ cho phép các BU chọn Nội bộ hoặc TSMC. Họ sẽ (và vẫn làm) đưa ra các phương án và kế hoạch tìm nguồn cung ứng kép cho đến giai đoạn sau của vòng đời phát triển sản phẩm.

• Intel không thể dẫn đầu về công nghệ với quy mô nhỏ như Intel hiện nay (Thời thế thay đổi, Intel là ưu tiên thứ ba của các công ty thiết bị). Các nhà cung cấp thiết bị thực hiện phần lớn quy trình và tất cả việc phát triển công cụ. Bạn cần quy mô để nhận được sự hỗ trợ của họ. Vì vậy, Intel cần cung cấp các dịch vụ đúc để tăng gần gấp đôi quy mô sản lượng tấm bán dẫn của Intel. Intel cần phải nỗ lực hết sức để trở thành một xưởng sản xuất hàng đầu.

• Pat [giả thuyết] nói: “…Các đơn vị kinh doanh cho rằng sản xuất có vấn đề. Nhà sản xuất nói BU là vấn đề. Khỏe …. Mỗi người trong số các bạn có thể làm những gì mình muốn…. NHƯNG chúng tôi sẽ đưa ra những quyết định quan trọng dựa trên việc thực hiện của bạn.”

Do đó chúng ta đang ở đâu ngày hôm nay: Intel đang tăng cường TSMC trên chip dành cho mọi loại bộ xử lý. Một số sản phẩm hàng đầu là 100% TSMC. Và Intel đang quảng bá công nghệ đúc cho những người khác cùng lúc. 5 nút trong 4 năm (không thực sự như vậy, nhưng đó là một báo cáo khác).

Các BU vô cùng hài lòng với điều này. Cho đến nay, nhiều sản phẩm đã được chuyển sang TSMC và sự linh hoạt trong việc sử dụng N5,N3,N2 là điều họ yêu thích. Giá TSMC gần bằng giá của Intel nên tỷ suất lợi nhuận BU sẽ tăng.

Nhưng làm thế nào Intel có thể cạnh tranh một cách hiệu quả về mặt chi phí với TSMC và xưởng sản xuất cũng như chi trả cho tất cả các nhà máy này?

Chúng tôi đã bỏ qua một số điều cho đến khi thảo luận về IEDM với nhiều người khác nhau vào tháng 2023 năm XNUMX.

• Intel vẫn muốn thắng và nhỉnh hơn TSMC. Có vẻ như không thể… nhưng nó có thể không quan trọng.

• Chính phủ Mỹ mua chip cho các sản phẩm nội bộ và các mặt hàng DoD. Không có sản phẩm chiến lược nào của DoD có bộ phận TSMC trong đó. TSMC không đáp ứng được tiêu chí. Kết quả là, những sản phẩm đó có công nghệ chưa đạt đến trình độ tiên tiến. IBM (trước đây), GF và các công ty quốc phòng khác được phê duyệt sản xuất chip cho các sản phẩm đó nhưng chúng không ở gần vị trí dẫn đầu. Họ muốn sử dụng lợi thế dẫn đầu nhưng họ cần một công ty Hoa Kỳ được DoD phê duyệt. Mặc dù các bộ phận của DoD có khối lượng tương đối thấp, nhưng chính phủ có thể mở rộng điều này sang bất kỳ chuỗi cung ứng nào của Chính phủ (họ theo dõi chi tiết chuỗi cung ứng và nhà máy cho tất cả các bộ phận). IRS, An sinh xã hội, v.v. TSMC không thể thực hiện việc này ngày hôm nay và sẽ cần có quy định lớn để thậm chí có Samsung US hoặc TSMC US hỗ trợ nó. Tin tôi đi, tôi đã từng thực hiện kiểm toán các sản phẩm của chính phủ trước đây, việc này có thể cực kỳ khó khăn.

Ngoài ra, mặc dù Intel không được thiết lập từ quy mô hoặc từ góc độ văn hóa để dẫn đầu về chi phí, Chính phủ Hoa Kỳ trả chi phí cộng thêm và mức giá cực kỳ cao cho các sản phẩm. Intel có thể lấp đầy một nửa nhà máy và vẫn có lợi nhuận lớn. Bạn có thể thấy điều này ở một số nhà cung cấp của chính phủ ngày nay.

• Điều thứ ba cũng có thể được dự đoán nhưng đã bị bỏ lỡ. Lợi thế dẫn đầu quá đắt và phức tạp. Rất nhiều xưởng đúc…. GF, UMC, SMIC, Grace, Tower không có khả năng cung cấp công nghệ dẫn đầu hoặc thậm chí đi sau 2 thế hệ. Intel có thể hợp tác với họ, cung cấp các công nghệ “hiện đại hơn”, cung cấp quy mô, v.v. Tất cả các công ty không có tên TSMC hay Samsung đều có thể hưởng lợi RẤT NHIỀU từ việc hợp tác với Intel và điều này cho phép họ cạnh tranh với Samsung và TSMC.

Dựa trên các chiến lược trên. Intel có thể gia công phần lớn silicon của mình cho TSMC để giữ cho các BU hài lòng và VẪN trở thành công ty dẫn đầu trong lĩnh vực đúc chỉ dựa trên việc trở thành “công ty Fab của Hoa Kỳ” và “các nhà máy tiên tiến cho các xưởng đúc khác”. Những khách hàng này tương thích với Intel hơn nhiều so với việc bán cho Apple, AMD, Nvidia và Broadcom.

Đây là một mô hình đúc khác nhưng là mô hình mà Intel có thế mạnh và có khả năng thống trị. Tất cả điều này có thể có hoặc có thể không hoạt động. Chúng tôi có các cột mốc định lượng mà bạn có thể theo dõi để xem liệu Intel có thành công hay không.

Ba kịch bản đúc tiềm năng là:

*Thành công của xưởng đúc Intel*: Intel có các quy trình cạnh tranh với mức giá cạnh tranh và phát triển thành một công ty sản xuất công nghệ tiên tiến hàng đầu khác. Intel dẫn đầu và Intel BU sử dụng các quy trình của Intel. Doanh thu và lợi nhuận tăng trưởng.

*Intel lấp đầy khoảng trống của TSMC*: Intel cung cấp cho tất cả các xưởng đúc khác, Intel cung cấp cho chính phủ. Cả hai đều có ít lựa chọn khác nên họ phải trả cái giá cần thiết. Doanh thu tăng trưởng đều đặn trong 10-15 năm tới.

*Intel là IDM2.0 = IBM2.0*: Intel đấu tranh để tăng cường công việc của chính phủ và các nhà máy. Các đối tác sản xuất của Intel quyết định rằng không đáng để hợp tác với họ và các quy trình đều không thành công. Các sản phẩm được cho đi, bị hủy bỏ hoặc bị thiếu tải. Cuối cùng xưởng đúc của Intel cũng bị hấp thụ.

Chúng tôi có thêm thông tin chi tiết về từng kịch bản và trong vài năm tới, xác suất xảy ra từng kịch bản sẽ thay đổi. Chúng tôi có thông tin cập nhật về xác suất cũng như những chiến thuật, mô hình và chiến lược mà Intel đang sử dụng. Quan trọng hơn, chúng tôi cung cấp các mốc quan trọng để những người khác có thể theo dõi tiến độ…. và chúng tôi theo dõi tác động đến P&L và Capex.

Cập nhật ngày đúc (TIN MỚI NHẤT): Tất cả các bài thuyết trình và cam kết đều hỗ trợ nền tảng mà chúng tôi đưa ra, các chiến lược và kịch bản.

Đánh dấu Webb
www.mkwventures.com

Cũng đọc:

Sự kiện kết nối trực tiếp Intel

ISS 2024 - Logic 2034 - Công nghệ, Kinh tế và Tính bền vững

Intel phải là Kế hoạch A của Thế giới Tự do chứ không phải Kế hoạch B và chúng tôi cần Chính phủ Hoa Kỳ can thiệp

Chiplets sẽ gây đột phá như thế nào đối với Intel và TSMC?

Chia sẻ bài đăng này qua:

tại chỗ_img

Tin tức mới nhất

tại chỗ_img