Logo Zephyrnet

Sự kiện kết nối trực tiếp Intel – Semiwiki

Ngày:

Vào thứ Tư, ngày 21 tháng Haist Intel đã tổ chức sự kiện Foundry Direct Connect đầu tiên của họ. Sự kiện này có cả phiên công khai và phiên NDA, và tôi đã tham gia cả hai. Trong bài viết này, tôi sẽ tóm tắt những gì tôi đã học được (không được NDA đề cập) về các kế hoạch kinh doanh, quy trình và nhà máy sản xuất tấm bán dẫn của Intel (trọng tâm của tôi là công nghệ xử lý và nhà máy sản xuất tấm bán dẫn).

Kinh doanh

Những điểm chính trong bài phát biểu quan trọng theo quan điểm của tôi.

  • Intel sẽ tổ chức công ty với tên gọi Product Co (không chắc Product Co là tên chính thức) và Intel Foundry Services (IFS) với Product Co tương tác với IFS như một khách hàng thông thường của xưởng đúc. Tất cả các hệ thống chính sẽ được tách biệt và tường lửa để đảm bảo rằng dữ liệu khách hàng của xưởng đúc được an toàn và Product Co.
  • Mục tiêu của Intel là đưa IFS trở thành xưởng đúc số hai trên thế giới vào năm 2030. Đã có rất nhiều cuộc thảo luận về việc IFS là xưởng đúc hệ thống đầu tiên, ngoài việc cung cấp quyền truy cập vào các quy trình chế tạo wafer của Intel, IFS sẽ cung cấp gói, IP tiên tiến của Intel và chuyên môn về kiến ​​trúc hệ thống.
  • Thật thú vị khi thấy Giám đốc điều hành của Arm, Rene Haas trên sân khấu cùng với Giám đốc điều hành của Intel, Pat Gelsinger. Arm được mô tả là đối tác kinh doanh quan trọng nhất của Intel và người ta lưu ý rằng 80% bộ phận chạy tại TSMC đều có lõi Arm. Theo quan điểm của tôi, điều này cho thấy Intel đang coi trọng ngành đúc như thế nào, trước đây việc Intel chạy Arm IP là điều không thể tưởng tượng được.
  • Khoảng 3 tháng trước IFS tiết lộ rằng họ có các đơn đặt hàng có giá trị trọn đời là 10 tỷ đô la, ngày nay con số đó đã tăng lên 15 tỷ đô la!
  • Intel có kế hoạch phát hành báo cáo tài chính được trình bày lại trong ba năm trở lại đây với sự ra đời của Product Co và IFS.
  • Giám đốc điều hành Microsoft Satya Nadella xuất hiện từ xa để thông báo rằng Microsoft đang thực hiện thiết kế cho Intel 18A.

Công nghệ xử ký

  • Trong phiên họp NDA Ann Kelleher đã trình bày về công nghệ xử lý của Intel.
  • Intel đã nhắm mục tiêu năm nút trong bốn năm (trái ngược với khoảng 5 năm để hoàn thành 10nm). Các nút được lên kế hoạch là i7, i4 quy trình EUV đầu tiên của Intel, i3, 20A với RibbonFET (Gate All Around) và PowerVia (nguồn điện phía sau) và 18A.
  • i7 và i4 đang được sản xuất, i4 được sản xuất ở Oregon và Ireland, còn i3 đã sẵn sàng sản xuất. 20A và 18A đang chuẩn bị sẵn sàng sản xuất trong năm nay, xem hình 1.

Hình 1

 Hình 1. Năm nút trong bốn năm.

Tôi có thể phân minh xem liệu đây có thực sự là năm nút hay không, theo quan điểm của tôi, i7, i3 và 18A là một nửa nút theo sau i10, i4 và 20A, nhưng nó vẫn có hiệu suất rất ấn tượng và cho thấy Intel đang đi đúng hướng để phát triển quy trình. Ann Kelleher xứng đáng nhận được nhiều lời khen ngợi vì đã đưa quá trình phát triển quy trình của Intel trở lại đúng hướng.

  • Intel cũng đang hoàn tất việc cung cấp cho xưởng đúc, i3 giờ đây sẽ có i3-T (TSV), i3-E (nâng cao) và i3-P (phiên bản hiệu suất).
  • Tôi không thể thảo luận chi tiết cụ thể, nhưng Intel đã hiển thị dữ liệu năng suất mạnh mẽ cho i7 cho đến 18A.
  • 20A và 18A sẽ sẵn sàng sản xuất trong năm nay và sẽ là quy trình RibbonFET đầu tiên của Intel (Các tấm Nano ngang xếp chồng lên nhau Cổng All Around) và PowerVia (cung cấp năng lượng mặt sau. PowerVia sẽ là ứng dụng cung cấp năng lượng mặt sau đầu tiên trên thế giới và dựa trên thông báo công khai mà tôi có được nhìn thấy từ Samsung và TSMC, sẽ đi trước cả hai công ty khoảng hai năm.PowerVia để lại định tuyến tín hiệu ở mặt trước của tấm bán dẫn và chuyển việc phân phối điện năng sang mặt sau cho phép tối ưu hóa độc lập cả hai và giảm hiện tượng sụt giảm điện năng cũng như cải thiện định tuyến và hiệu suất.
  • 18A dường như đang thu hút được nhiều sự quan tâm và đang tiến triển tốt với 0.9PDK được phát hành và một số công ty đã sản xuất các thiết bị thử nghiệm. Sẽ có một phiên bản hiệu suất 18A-P. Theo ý kiến ​​​​của tôi, 18A sẽ là quy trình có hiệu suất cao nhất khi nó được phát hành mặc dù TSMC sẽ có quy trình có mật độ bóng bán dẫn cao hơn.
  • Sau 18A, Intel sẽ tiến tới nhịp độ nút hai năm với kế hoạch là 14A, 10A và NEXT. Hình 2 minh họa lộ trình quy trình của Intel.

Hình 2

Hình 2. Lộ trình quy trình.

  • Để hoàn thiện hơn nữa sản phẩm của Intel, họ đang phát triển quy trình 12nm với UMC và quy trình 65nm với Tower.
  • Công cụ EUV NA cao đầu tiên có mặt ở Oregon với các điểm chứng minh dự kiến ​​vào năm 2025 và sản xuất trên 14A dự kiến ​​vào năm 2026.

Hỗ trợ thiết kế

Gary Patton đã trình bày khả năng hỗ trợ thiết kế của Intel trong phiên NDA. Gary là giám đốc điều hành phát triển lâu năm của IBM và cũng là CTO tại Global Foundries trước khi gia nhập Intel. Trước đây, các luồng thiết kế không chuẩn của Intel là rào cản đáng kể đối với việc tiếp cận các quy trình của Intel. Những phần chính trong bài nói chuyện của Gary:

  • Intel đang áp dụng các phương pháp thiết kế tiêu chuẩn ngành, phát hành PDK và danh pháp.
  • Tất cả các nền tảng thiết kế chính sẽ được hỗ trợ, Synopsys, Siemens, Cadence, Ansys và đại diện của cả bốn nền tảng được trình bày trong các phiên họp.
  • Tất cả IP nền tảng chính đều có sẵn trong sản phẩm đúc của Intel.
  • Theo quan điểm của tôi, đây là một bước tiến lớn đối với Intel, trên thực tế, họ đã thảo luận về việc hiện tại có thể chuyển các yếu tố thiết kế khác nhau vào quy trình của họ nhanh như thế nào.
  • Tính sẵn có của IP và sự dễ dàng trong thiết kế của xưởng đúc là rất quan trọng để thành công và Intel dường như đã lần đầu tiên đánh dấu được điều này.

Bao bì

Choon Lee đưa ra bao bì và anh ấy là một người ngoài khác được đưa vào Intel, tôi tin rằng anh ấy nói rằng anh ấy mới vào đó được 3 tháng. Một nhà phân tích khác nhận xét rằng thật sảng khoái khi thấy Intel đưa những người được tuyển dụng từ bên ngoài vào các vị trí chủ chốt thay vì tất cả những người chủ chốt đều là nhân viên lâu năm của Intel. Bao bì không thực sự là trọng tâm của tôi nhưng có một số lưu ý mà tôi nghĩ là quan trọng:

  • Intel đang cung cấp gói đóng gói tiên tiến của họ cho khách hàng và gọi nó là ASAT (Thử nghiệm và lắp ráp hệ thống nâng cao) thay vì OSAT (Lắp ráp và thử nghiệm bên ngoài).
  • Intel sẽ lắp ráp nhiều sản phẩm khuôn có nguồn gốc từ IFS và từ các xưởng đúc khác.
  • Intel có khả năng độc đáo để thử nghiệm khuôn đơn cho phép kiểm soát nhiệt độ nhanh hơn và tốt hơn nhiều.
  • Hình 3 tóm tắt khả năng sản xuất và đóng gói của Intel.

Hình 3

Hình 3. Xưởng đúc và đóng gói của Intel.

Sản xuất Intel

Cũng theo NDA Keyvan Esfarjani đã trình bày hoạt động sản xuất của Intel. Các điểm chính có thể tiết lộ là:

  • Intel là xưởng sản xuất đa dạng về mặt địa lý duy nhất có các nhà máy ở Oregon, Arizona, New Mexico, Ireland và Israel cũng như các nhà máy được quy hoạch ở Ohio và Đức. Intel xây dựng cơ sở hạ tầng xung quanh nhà máy ở mỗi địa điểm.
  • Mô hình xưởng đúc IFS sẽ cho phép Intel tăng cường các quy trình và duy trì chúng trong sản xuất thay vì tăng cường các quy trình rồi giảm bớt chúng vài năm sau đó theo cách họ đã làm trước đây với tư cách là IDM.
  • Các địa điểm của Intel:
    • Fab 28 ở Israel đang sản xuất i10/i7 và fab 38 được lên kế hoạch cho địa điểm đó.
    • Fab 22/32/42 ở Arizona đang chạy i10/i7 với fab 52/62 được lên kế hoạch cho địa điểm đó vào giữa năm 2025 để chạy 18A.
    • Fab 24 ở Ireland đang chạy 14nm với kế hoạch sản xuất i16, Fab 34/44 cũng tại địa điểm đó đang chạy i4 và tăng cường i3. Cuối cùng họ sẽ điều hành xưởng đúc i3.
    • Fab 9/11x ở New Mexico đang áp dụng quy trình đóng gói tiên tiến và sẽ bổ sung thêm 65nm với Tower vào năm 2025.
  • Kế hoạch mở rộng ở Ohio và Đức.
  • Oregon đã không được thảo luận chi tiết, có lẽ vì đây là một địa điểm đang phát triển mặc dù nó đã sản xuất sớm. Oregon có Fabs D1C, D1D và 3 giai đoạn của D1X đang chạy với các bản dựng lại của D1A và 4 giai đoạn bổ sungth giai đoạn D1X đang được lên kế hoạch.

Kết luận

Nhìn chung, sự kiện này đã được thực hiện rất tốt và các thông báo rất ấn tượng. Intel đã đưa quá trình phát triển công nghệ xử lý của mình đi đúng hướng và họ đang coi trọng hoạt động sản xuất đúc cũng như làm những điều đúng đắn để thành công. TSMC được đảm bảo là nhà sản xuất chip số một thế giới trong tương lai gần, nhưng xét đến các vấn đề về năng suất thường xuyên của Samsung, tôi tin rằng Intel có vị thế tốt để thách thức Samsung ở vị trí số hai.

Cũng đọc:

ISS 2024 - Logic 2034 - Công nghệ, Kinh tế và Tính bền vững

Intel phải là Kế hoạch A của Thế giới Tự do chứ không phải Kế hoạch B và chúng tôi cần Chính phủ Hoa Kỳ can thiệp

Chiplets sẽ gây đột phá như thế nào đối với Intel và TSMC?

Chia sẻ bài đăng này qua:

tại chỗ_img

Tin tức mới nhất

tại chỗ_img