Zephyrnet Logo

200mm Shortages May Persist For Years

Date:

A surge in demand for chips at more mature process nodes is causing shortages for both 200mm foundry capacity and 200mm equipment, and it shows no signs of letting up. In fact, even with new capacity coming on line this year, shortages are likely to persist for years, driving up prices and forcing significant changes across the semiconductor supply chain.

Shortages for both 200mm foundry capacity and equipment have existed for some time, and the situation remains problematic. For example, 200mm foundry capacity is fully booked in the first half of 2022, according to Gartner. Beyond that, demand for 200mm foundry capacity will continue to outstrip supply, meaning foundry customers will need to plan ahead to ensure they obtain enough 200mm capacity in the future.

There are two types of semiconductor companies that manufacture chips in fabs. Integrated device manufacturers (IDMs) design their own name-brand chips and manufacture them in their own fabs. Foundries, meanwhile, make chips for other companies in their own fabs. Both IDMs and foundries have 200mm and/or 300mm fabs. (200mm and 300mm refer to the diameter of silicon wafers, which are produced by various wafer makers.)

200mm fabs have been around since the 1990s. A multitude of chipmakers operate 200mm fabs, and at last count, more than 200 of those exist today. 200mm fabs manufacture devices at mature process technologies, ranging from the 6µm to the 110nm nodes. Chips produced in 200mm fabs are used in every electronic product and include analog, display ICs, microcontrollers (MCUs), power management ICs (PMICs), and RF.

Some, but not all, of these chips also can be produced in more advanced 300mm fabs. These larger fabs process devices from the 90nm to 5nm nodes. (A process technology is the recipe used to manufacture a given chip in a fab. A node refers to a specific process and its design rules.)

Nonetheless, IDMs and foundries have seen unprecedented demand for chips at all nodes. During the onset of Covid-19 in 2020, countries implemented various measures to mitigate the outbreak, such as stay-at-home orders. Many began to work at home or attend school remotely, fueling a buying spree for new PCs and TVs. Then, in 2021, demand spiked for cars, smartphones and other products. All of this caused a wave of chip shortages across several markets.

The chip shortage situation has extended into the first part of 2022. Many believe the supply/demand situation will return to relative normalcy by mid-2022, except for some automotive chips, which will remain in short supply throughout the year. By mid-2022, though, many chipmakers should have enough 300mm fab capacity to meet demand.

But 200mm is a different story. Today, several companies are building new 200mm fabs. In total, the industry has increased 200mm fab capacity by over 300,000 wafers per month (wpm) in 2021, up 5% over 2020, according to SEMI. That’s not nearly enough capacity to meet demand.

“200mm capacity at the foundries is sold out for the first half of 2022. I would expect the tightness of 200mm foundry capacity will last a few years, possibly to 2025,” said Samuel Wang, an analyst at Gartner. The situation is slightly better at the IDMs, where fab utilization rates for 200mm capacity is running higher than 80%, Wang said.

Even if foundry customers are fortunate enough to secure enough 200mm or 300mm capacity in 2022, they face another set of issues. Foundry vendors are expected to raise their 200mm and 300mm wafer prices this year.

Number of 200mm semiconductor volume fabs worldwide

Year Number of fabs
1995 65
2002 186
2016 184
2022 216

Fig. 1: Number of 200mm semiconductor volume fabs worldwide. Source: SEMI

Fall and rise of 200mm
In the 1960s, during the early days of the semiconductor industry, semiconductor companies made relatively simple chips in plants using rudimentary equipment. In those days, chipmakers built their own equipment.

In the early 1960s, chipmakers were processing devices on tiny 20mm (0.75-inch) wafers in these early plants. Within a span of 30 years, they migrated to fabs with larger wafer sizes, such 30mm/40mm, 50mm, 75mm, 100mm, 125mm, and 150mm.

By moving to larger wafer sizes, vendors could produce roughly 2.2X dies per wafer, enabling them to reduce their fab manufacturing costs.

Then, in the 1990s, 200mm fabs appeared. At the time, the cost to build a 200mm fab was $700 million to $1.3 billion. A large percentage of the cost of a fab revolves around the equipment used to manufacture chips.

For years, 200mm fabs were considered state-of-the-art facilities. Then, starting in the 2000s, many chipmakers migrated from 200mm to 300mm fabs. Initially, the cost to build a 300mm fab was $2 billion to $3 billion.

During this period, 200mm fabs were still in use. But 200mm was a forgotten market until 2015, when the industry saw a surge in demand for chips based on more mature processes. Suddenly, 200mm fab utilization rates at the foundries were hovering at 100%. Capacity shortages appeared.

From 2016 to 2021, 200mm capacity was tight. “200mm foundries have been operating at near 100% capacity for the last three to four years,” said Woo Young Han, product marketing manager at Onto Innovation. “They are seeing large growth in PMICs, display driver ICs, and MCUs.”

By the end of 2021, most foundry vendors were sold out of 200mm foundry capacity. “Looking at the fourth quarter, we anticipate wafer shipments and the ASP trend will remain firm. Capacity utilization across 8- and 12-inch facilities will continue to remain fully loaded,” said Jason Wang, UMC’s co-president.

Still, some foundry customers can secure enough 200mm capacity to meet their requirements. Others aren’t as fortunate, especially automotive companies. In 2020, when auto sales plummeted, many carmakers stopped buying chips. By 2021, when the automotive business rebounded, carmakers didn’t have enough chips in stock.

Then, carmakers began to order chips at a frenetic pace. But chipmakers didn’t have enough fab capacity, which in turn caused chip shortages in the automotive and other sectors. Many of those chips are made in 200mm fabs.

In 2022, demand is expected to be strong for both automotive and non-automotive chips. “Foundries are also seeing high demand for small volume production of diverse devices as more companies start designing their own devices,” Onto’s Woo said. “The automotive industry is a good example for high demand in small quantity production of a variety of devices. Automotive companies like Tesla, Ford, GM, Volkswagen, and Hyundai announced they will start designing their own semiconductor chips, and this kind of trend is driving high demand in 200mm wafer production.”

Besides 200mm and 300mm, 100mm and 150mm fab capacities are also in demand. Many power semiconductors are produced in 150mm fabs, especially those using gallium-nitride (GaN) and silicon carbide (SiC) materials. Both GaN and SiC power semis are hot markets.

200mm fab costs
On the manufacturing front, meanwhile, several foundry vendors manufacture chips for others in 200mm fabs, and each company is different with various process offerings. GlobalFoundries, Hua Hong, Samsung, SK Hynix, SkyWater, SMIC, Tower, TSMC, UMC, Vanguard, and X-Fab are among the foundry vendors with 200mm fabs.

In total, some 216 200mm fabs are expected to be in operation in 2022, compared with 184 in 2016, according to SEMI. In terms of overall 200mm fab capacity, TSMC is the leader with 10% share in 2020, followed by STMicroelectronics (6%), UMC (6%), Infineon (6%), TI (6%), SMIC (5%), and others, according to IC Insights.

Today, several companies are building new 200mm fabs or adding production lines to existing 200mm facilities. “Looking at new 200mm volume fabs, we have at the moment five new 200mm fabs starting construction in 2021 and 2022,” said Christian Dieseldorff, an analyst at SEMI. “These are planned by Rogue Valley Microdevices, OnMicro Electronics, Infineon, and Aosong.”

In existing 200mm fabs, meanwhile, some 17 200mm lines are expected to move into production from 2021 to 2024. “In 2021, those include Cree, CR Microelectronics, SMIC, Rohm, Innoscience, and SiEn,” Dieseldorff said.

That sounds like a lot of new 200mm capacity, but it’s not enough. “Demand for legacy nodes remains strong. There’s simply not enough 200mm foundry capacity that is being added outside China,” Gartner’s Wang said. “By 3Q22, the overall 300mm foundry supply will catch up with demand, while 200mm tightness will continue for many more years.”

Clearly, foundry customers and IDMs need more 200mm capacity. And there are several ways chipmakers can solve the problem, including:

  • Build new 200mm fabs.
  • Add new production lines to existing fabs.
  • Move some chips being produced in 200mm fabs to bigger 300mm plants.

Building new 200mm fabs is an obvious solution. Several companies are expanding their 200mm capacity, but this is an expensive proposition. The cost of a new 200mm fab ranges anywhere from $450 million for a MEMS facility to $1.3 billion for a power semi plant, according to SEMI. “If you want to generalize, I would say on average a new 200mm fab with 50,000 wpm can cost as much as $1 billion, including construction and equipment,” SEMI’s Dieseldorff said. “This depends on capacity, product type, and location.”

Even if you build a new 200mm capacity, chipmakers will run into another problem. It’s difficult to find new 200mm equipment in the marketplace.

There is another option. Several chipmakers are moving some chip products from smaller 200mm fabs to more sizeable 300mm facilities. That works for some but not all products. And customers must be willing to pay more for expensive 300mm wafers. “Many customers do not see the ROI justification to migrate legacy chips to 300mm fabs,” Wang said.

Finding 200mm equipment
In both 200mm and 300mm fabs, a large percentage of the cost is for the equipment. Each fab consists of an enclosed cleanroom with various equipment types, such as deposition systems, etchers, inspection/metrology gear, and lithography scanners.

Each chip type follows a different process flow using these and other equipment types. In all cases, it’s important to find the right 200mm equipment. A faulty system can cause defects in chips.

There are several different entities that sell 200mm tools, including equipment vendors, used/refurbished tool companies, brokers, auctioneers, and online sites.

Many entities are reputable. But there are also a number of horror stories from the field, in which a chipmaker unknowingly will buy a used tool that doesn’t work or is missing parts.

Bruce Kim, chief executive of SurplusGlobal, said that buyers of new or used equipment should follow some simple rules to avoid any problems: 1) Start looking early; 2) Work with a reputable company; and 3) Be prepared to pay almost new pricing for a used 200mm tool.

Nonetheless, if you need 200mm gear, the first step is to contact an equipment maker. Some, but not all, equipment vendors build new 200mm systems with the latest features.

“The delivery times of brand new 200mm tools are very long,” Kim said. “Furthermore, prices for 200mm brand new tools are sometimes similar to 300mm brand new tools.”

Some equipment vendors also will take a used tool, called a core, and refurbish it. At times, the vendor is unable to refurbish a system, because it’s outdated or unusable. It’s also hard to find spare parts for outdated tools.

Contacting a used/refurbished equipment vendor is another option. Some carry 200mm and/or 300mm used gear. A few even make their own equipment.

Generally, it’s difficult to find refurbished 200mm systems from all vendors. At present, there are less than 250 200mm core tools from all entities in the worldwide market, according to SurplusGlobal, a supplier of secondary equipment.

“We probably need 1,500 to 3,000 core tools to satisfy demand,” Kim said. “300mm tools are actually easier to obtain. However, refurbishment is a little more difficult due to supply chain issues. Interestingly, more and more 300mm tools are converted to 200mm systems.”

One way to sort out the 200mm market is to highlight some of the main process steps and explore the equipment issues at hand. It’s impossible to list every equipment vendor that provides 200mm tools. Highlighting a few vendors will certainly underscore the challenges.

For all chips, the first step takes place at a silicon wafer vendor. Using various equipment, these vendors manufacture unprocessed silicon wafers in various diameter sizes, such as 150mm, 200mm and 300mm.

Demand for 200mm wafers remains strong. “The top five wafer suppliers are not pursuing 200mm capacity expansion, so 200mm wafer supply is expected to remain tight in 2022,” said Sungho Yoon, senior research manager at SEMI.

Meanwhile, after the wafers are produced, they are shipped to a chipmaker for processing in a fab. For many logic chips, the first step is to deposit a layer of silicon dioxide on a wafer, followed by a nitride layer. Other materials could be used, depending on the application.

Fig. 2: Basic chip-making process steps in a fab. Source: Wikipedia

Wafers are then inserted into a system called a coater/developer. In this system, a photoresist, a light-sensitive material, is poured onto the wafers.

The wafers are then sent to another system called a lithography scanner or stepper. In operation, the wafers and a photomask are placed in a lithography tool. A photomask is a template of a given IC design.

Then, the scanner/stepper generates light, which is projected through the mask onto the wafer, creating tiny patterns on the wafer based on a given design.

For 200mm wafers, chipmakers use 365nm (i-line) or 248nm lithography systems. Using various techniques, an i-line lithography system enables resolutions down to 280nm, while 248nm is about 110nm, according to Nikon.

ASML, Canon, and Nikon are the main suppliers of 200mm lithography systems. And 200mm lithography systems are often the most difficult tools to find in the market. “Increased 200mm equipment demand and worldwide equipment shortages have caused longer than usual equipment delivery lead times,” said Doug Shelton, marketing manager at Canon.

All lithography vendors sell new or refurbished 200mm tools with the latest features. “Canon continues to produce new 200mm-compatible i-line and DUV steppers and scanners, and we are working to optimize our production capacity to meet 200mm market demand,” Shelton said. “Canon has also developed 200mm versions of our DUV scanners and i-line steppers that offer the resolution, overlay, and throughput performance required for 300mm high-performance computing applications on 200mm substrates.”

Canon also refurbishes older 200mm lithography equipment. “The supply of candidate lithography systems suitable for refurbishment is limited and subject to market pricing,” he said.

Meanwhile, after the lithography step, the wafer undergoes various deposition and etch steps. Chemical vapor deposition (CVD) is a common deposition tool type used in a fab. In a CVD system, wafers are inserted into a chamber. Chemicals flow into the chamber and hit the wafer, forming the desired materials on the surface.

Chipmakers use an etch systems to remove these materials at a desired location. Reactive-ion etch (RIE), the most common etch system, removes materials in devices on a continuous basis.

200mm etch and deposition systems are in demand. “In general, there has been a significant shortage of dedicated refurbished 200mm tools in the market to satisfy the demand for capacity growth,” said David Haynes, managing director of strategic marketing at Lam Research.

For years, Lam has produced 200mm deposition and etch tools. It also develops bridge tools. “That means we can reconfigure 300mm process tools for 200mm production,” Haynes said. “Given the availability of 300mm cores is better than dedicated 200mm tools, this gives us a great way to meet our customers’ requirements. It provides them improved process capability and productivity associated with newer 300mm platforms.”

Other deposition and etch suppliers are seeing similar trends. “Demand for ≤200mm process equipment has been consistently strong and growing over the past 10 years,” said Mike Rosa, chief marketing officer at Applied Materials. [1] “It’s safe to say that what we’re seeing today is not simply a response to an IC shortage, but the continuation of a trend that began several years ago.”

Like many companies, Applied builds new 200mm tools or refurbishes older systems. “For many mainframe and chamber technologies in our product portfolio, we have been building either completely new or partially new 200mm systems for some time now,” Rosa said. “In response to the increasing demand for 200mm systems, Applied has taken steps to significantly expand its manufacturing capacity in the U.S., as well as in regions where we expect strong demand to continue. As demand continues to increase, and 200mm system availability remains challenging, we are also actively working with customers who would like to evaluate porting their processes to more automated and higher scale 300mm production.”

During the process flow, meanwhile, chips are inspected for defects. For this, chipmakers use wafer inspection systems to find defects. They also use different metrology equipment to measure structures.

Suppliers of inspection/metrology gear are seeing huge demand for 200mm tools. “200mm fabs have been receiving solid customer orders and are running at capacity,” said Wilbert Odisho, vice president and general manager at KLA. “Customers are requesting expedited ship dates for equipment to support this need.”

For leading-edge chips in 300mm fabs, the features sizes in devices are tiny. So are the defects. Chipmakers need advanced inspection/metrology systems to find these tiny defects.

In comparison, chips produced in 200mm fabs have larger feature sizes. The defects are bigger, making them easier to find. Regardless of the size, it’s critical to find the defects, especially chips for automotive applications. Automotive vendors want chips with no defects.

Years ago, chipmakers could get by using older 200mm inspection/metrology tools. That’s no longer the case for many apps. Given today’s defect requirements in automotive and other apps, many chipmakers want more capable 200mm inspection/metrology gear.

“In addition to refurbishment and recertification of previously owned equipment, KLA has relaunched many product lines to support the growing demand,” Odisho said. “We are meeting this demand by relaunching 200mm mature equipment in our manufacturing line, enabling newer generation systems to be 200mm compatible, and offering enhancements that improve throughput and performance on systems already in use.”

Other 200mm equipment markets also have stringent requirements. Take wafer cleaning for example. During the process flow, the wafers require a cleaning step using wafer cleaning tools.

“For automotive, it’s so precise when it comes to the quality requirements and the repeatability requirements,” said Ian Brown, vice president of engineering at Screen Semiconductor Solutions. Several years ago, Screen re-developed all of its tools for 200mm, including single-wafer wet benches.

Conclusion
Clearly, 200mm is a vibrant market. On the 200mm foundry front, it’s important to keep track of the capacity situation. It’s also important to know who is making 200mm fab equipment.

But the dynamics are always changing, adding to the challenges in a complex landscape.

[1] Mike Rosa has since left Applied Materials.

Related Stories
End In Sight For Chip Shortages?
Some segments are normalizing, others may be impacted through 2022.

Chip Shortages Grow For Mature Nodes
Impact felt across many industries, including appliances, smart phones, cars, and industrial equipment.

Shortages, Challenges Engulf Packaging Supply Chain
Despite slowdown in some areas, shortages will continue into 2021 due to lack of equipment.

Automotive IC Shortage Drags On
Long lead times expected at least through the end of this year, as chipmakers scramble for solutions.

Source: https://semiengineering.com/200mm-shortages-may-persist-for-years/

spot_img

Latest Intelligence

spot_img