ゼファーネットのロゴ

DUVマルチパターニングの3nmへの拡張 – Semiwiki

日付:

最近中国が DUV リソグラフィのみを使用して 7nm クラスのファウンドリ ノードを達成したこと [1] は、マルチパターニングによって DUV リソグラフィをどこまで拡張できるかという疑問を引き起こしています。 CSTIC 2023 での最近の発表によると、中国のグループは現在、DUV ベースのマルチパターニングの 5nm への拡張を検討しており、6 つのレイヤーに 2 つのマスクを使用することまで検討していることが示されています [3]。 XNUMXnm に向けた DUV ベースのアプローチと EUV ベースのアプローチを比較すると、興味深い結論が得られます。

LELEのパターニング

マルチパターニングの最も基本的な形式は、いわゆる「リソ-エッチング-リソ-エッチング」(LELE) アプローチです。これは基本的に、基本的なリソグラフィーとそれに続く 3 回のエッチングを実行します。 これにより、印刷された 3 つの第 4 フィーチャの間に第 4 フィーチャが挿入されるため、ピッチを半分にすることができます。 これを拡張して、LEXNUMX (XNUMXxLE) および LEXNUMX (XNUMXxLE) が続く可能性があります。 しかし、自己整合スペーサー パターニングの登場により、元のピッチの半分未満にするためにこれらのアプローチを使用することは、もはや好まれなくなりました。

自己整合スペーサーパターニング

自己整合スペーサ パターニングには、余分なリソグラフィー ステップが必要ないという LELE に比べて利点があり、それによって余分なコストが節約されます。 スペーサの堆積とそれに続くエッチバック、それに続くギャップフィルとそれに続くエッチバックは、コーティング、ベーク、露光、ベーク、現像というリソグラフィー シーケンスを置き換えます。 はるかに安価ではありますが、スペーサーの厚さやエッチング速度の選択性など、正確なプロセス制御が依然として必要です。 スペーサーを XNUMX 回適用すると、所定のピッチ内で機能が XNUMX 倍になります。 したがって、これは自己整合ダブルパターニング (SADP) と呼ばれることがよくあります。 再適用により、予想どおり、自己整合四重パターニング (SAQP) が実現します。

サブトラクティブパターニング

LELE と SADP はどちらも自然にパターンにフィーチャを追加しますが、最終的なレイアウトではこれらのフィーチャの一部を削除する必要がある場合があります。 カットマスクは、線分を削除する領域を示しました。 これらは、ライン形成エッチングがブロックされる場合、ブロック位置とも呼ばれます。 インバースマスクはキープマスクと呼ばれます。 隣接する線もエッチングできる場合、改行を 1 つの線幅に制限すると、配置上の問題が発生します。 エッチング対象の異なる材料からなるように交互のラインを配置できる場合、より優れた公差でライン ブレークを作成できます (図 XNUMX)。

DUVマルチパターニングの3nmへの拡張

図 1. 自動整列ブロック/カットでは、交互のラインのセクションのみが削除されます。

特定の相互接続ラインの場合、切れ目間の距離は少なくとも 1 金属ピッチであることが予想されます。 したがって、金属ピッチが解像度限界の 4/1 から 2/XNUMX である場合、ラインごとに XNUMX つのマスクが予想されます。

図 2. XNUMX セットのエッチングには XNUMX セットのブロック/カット マスクが必要です。

交互のライン配置

代替ラインの配置は、LELE、SADP、SAQP、または SALELE (self-aligned LELE) として知られる LELE と SADP のハイブリッドによって自然に行われます [3]。 SALELE は、最も狭い金属ピッチに対する EUV のデフォルトの使用法としてすでに考慮されています [2、4]。

DUV と EUV のコスト評価

DUV によるマルチパターニングに対する期待の 2021 つは、EUV に比べてコストが高騰していることです。 更新された再評価の時期が来ています。 まず、最新 (5 年) の正規化されたパターニング コスト推定値を使用します [3] (図 XNUMX)。

形。 3 パターン化の正規化コスト、参考資料 5 より。

次に、さまざまなノードに対して DUV および EUV の代表的なパターニング スタイルを使用します (図 4)。

図 4. DUV と EUV のパターニング コストとノードの関係

いくつかのコメントが順番に記載されています。

  1. 7nm DUV の場合、40 nm ピッチは、解像できる唯一の特徴がラインであるため、これらのラインを別の露光でカットする必要があります。
  2. 7nm EUV の場合、40 nm ピッチでは必要な解像度 (~20 nm) が EUV システムの点像分布関数 (~25 nm) より小さいため、別個のラインカットが使用されます。 高 NA EUV システムも、焦点深度と瞳フィルの制限のため、このピッチには有利ではありません [6]。
  3. 3/5nm DUV の場合、40 nm 未満のピッチでは、LELE SADP の方が SAQP よりも柔軟性があります [7]。
  4. 3/5nm EUV の場合、LELE を使用する原動力は、ハーフピッチ 17 nm 未満および分離線幅 20 nm 未満での確率的挙動です [8,9、10]。 10 nm の寸法に近づくと、電子散乱の線量依存性のぼやけ [​​12-XNUMX] も法外なものになります。 システムの光学解像度、つまり NA はもはや関係ありません。
  5. パターン整形は、事前整形リソグラフィーをはるかに困難にするため、カットを排除する方法とは考えられていません (図 5)。 また、斜めイオン ビーム エッチングは一般に、既存のトポグラフィーを平坦化し、エッチング マスクの高さを減らすために使用されてきました [13]。

図 5. パターン整形の場合、整形前のパターンはリソに非常に適していません。

ほとんどの場合、DUV LELE は EUV 単一露光 (SE) よりもはるかに安価であると直接判断できます。 また、DUV LE4 は EUV ダブルパターニングよりも安価です。 LELE は SE よりも追加の手順を必要としますが、EUV システムのメンテナンスと DUV システムのメンテナンス、およびエネルギー消費についても考慮する必要があります。 DUV LELE は EUV SE の半分のエネルギーを使用し、DUV SADP は約 2/3、さらに DUV LE4 でさえ EUV SE のエネルギーの 85% 弱を使用します [14]。

これらすべては、DUV または EUV の選択に関係なく、高度なノードに移行するにはコストの増大に直面する必要があることを強調しています。

参考文献

【1] https://www.techinsights.com/blog/techinsights-finds-smic-7nm-n2-huawei-mate-60-pro

[2] Q. Wu 他、CSTIC 2023。

[3] Y.Drissiら、Proc. SPIE 10962、109620V (2019)。

[4] R. Venkatesan ら、Proc。 SPIE 12292、1229202 (2022)。

[5] S. Snyder 他、2021 EUVL ワークショップ、 https://www.euvlitho.com/2021/P2.pdf

[6] F. Chen、EUV リソグラフィーにおいて高 NA が低 NA よりも優れていない場合、2023 年、 https://www.youtube.com/watch?v=10K5i4QdLBU

[7] S.Sakhareら、Proc. SPIE 9427、94270O (2015)。

[8] L. Meli et al.、J. Micro/Nanolith. MEMS MOEMS 18、011006 (2019)。

[9] D. De Simone および G. Vandenberghe、Proc. SPIE 10957、109570Q (2019)。

[10] A.ナラシンハンら、Proc. SPIE 9422、942208 (2015)。

[11] I. Bespalov et al.、ACS Appl. メーター。 インターフェイス 12、9881 (2020)。

[12] F. Chen、二次電子ブラーを使用した EUV 確率的欠陥のモデリング、 https://www.linkedin.com/pulse/modeling-euv-stochastic-defects-secondary-electron-blur-chen

[13] M. Ulitschka 他、J. Europ. オプション。 社会– ラピッドパブ。 17:1 (2021)。

[14] LA。 Ragnarsson et al.、2022 Electron Dev. 技術。 マニュファクチャリング、82 (2022)。

この記事は、LinkedIn Pulse に最初に掲載されました。 DUVマルチパターニングの3nmへの拡張

また読む:

DUV 化学増幅レジストにおける酸拡散の確率モデル

新しい極紫外線フォトレジスト材料による半導体プロセスの進歩

二次電子ブラーを使用した EUV の確率的欠陥のモデル化

この投稿を共有する:

スポット画像

最新のインテリジェンス

スポット画像