Zephyrnet Logo

An in-memory computing architecture based on a duplex two-dimensional material structure for in situ machine learning

Date:

  • Hutson, M. Has artificial intelligence become alchemy? Science 360, 478–478 (2018).

    Article  CAS  Google Scholar 

  • Christensen, D. V. et al. 2022 roadmap on neuromorphic computing and engineering. Neuromorph. Comput. Eng. 2, 022501 (2022).

    Article  Google Scholar 

  • Mehonic, A. & Kenyon, A. J. Brain-inspired computing needs a master plan. Nature 604, 255–260 (2022).

    Article  CAS  Google Scholar 

  • Salahuddin, S., Ni, K. & Datta, S. The era of hyper-scaling in electronics. Nat. Electron. 1, 442–450 (2018).

    Article  Google Scholar 

  • Kendall, J. D. & Kumar, S. The building blocks of a brain-inspired computer. Appl. Phys. Rev. 7, 011305 (2020).

    Article  CAS  Google Scholar 

  • Ambrogio, S. et al. Equivalent-accuracy accelerated neural-network training using analogue memory. Nature 558, 60–67 (2018).

    Article  CAS  Google Scholar 

  • Yu, S. Neuro-inspired computing with emerging nonvolatile memory. Proc. IEEE 106, 260–285 (2018).

    Article  CAS  Google Scholar 

  • Zhou, Z. et al. Edge intelligence: paving the last mile of artificial intelligence with edge computing. Proc. IEEE 107, 1738–1762 (2019).

    Article  Google Scholar 

  • Keshavarzi, A., Ni, K., Hoek, W. V. D., Datta, S. & Raychowdhury, A. Ferroelectronics for edge intelligence. IEEE Micro 40, 33–48 (2020).

    Article  Google Scholar 

  • Yao, P. et al. Fully hardware-implemented memristor convolutional neural network. Nature 577, 641–646 (2020).

    Article  CAS  Google Scholar 

  • Demasius, K.-U., Kirschen, A. & Parkin, S. Energy-efficient memcapacitor devices for neuromorphic computing. Nat. Electron. 4, 748–756 (2021).

    Article  Google Scholar 

  • Chen, W. et al. CMOS-integrated memristive non-volatile computing-in-memory for AI edge processors. Nat. Electron. 2, 420–428 (2019).

    Article  CAS  Google Scholar 

  • Cheng, C. et al. In-memory computing with emerging nonvolatile memory devices. Sci. China Inf. Sci. 64, 221402 (2021).

    Article  Google Scholar 

  • Li, C. et al. Analogue signal and image processing with large memristor crossbars. Nat. Electron. 1, 52–59 (2018).

    Article  Google Scholar 

  • Müller, J. et al. Ferroelectricity in simple binary ZrO2 and HfO2. Nano Lett. 12, 4318–4323 (2012).

    Article  Google Scholar 

  • Böscke, T. S., Müller, J., Bräuhaus, D., Schröder, U. & Böttger, U. Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 99, 102903 (2011).

    Article  Google Scholar 

  • Cheema, S. S. et al. Enhanced ferroelectricity in ultrathin films grown directly on silicon. Nature 580, 478–482 (2020).

    Article  CAS  Google Scholar 

  • Cheema, S. S. et al. Emergent ferroelectricity in subnanometer binary oxide films on silicon. Science 376, 648–652 (2022).

    Article  CAS  Google Scholar 

  • Gao, Z. et al. Identification of ferroelectricity in a capacitor with ultra-thin (1.5-nm) Hf0.5Zr0.5O2 film. IEEE Electron Device Lett. 42, 1303–1306 (2021).

    Article  CAS  Google Scholar 

  • Khan, A. I., Keshavarzi, A. & Datta, S. The future of ferroelectric field-effect transistor technology. Nat. Electron. 3, 588–597 (2020).

    Article  Google Scholar 

  • Schroeder, U., Park, M. H., Mikolajick, T. & Hwang, C. S. The fundamentals and applications of ferroelectric HfO2. Nat. Rev. Mater. 7, 653–669 (2022).

  • Jerry, M. et al. Ferroelectric FET analog synapse for acceleration of deep neural network training. In 2017 IEEE International Electron Devices Meeting (IEDM) 6.2.1–6.2.4. (IEEE, 2017).

  • Ni, K. et al. SoC logic compatible multi-bit FeMFET weight cell for neuromorphic applications. In 2018 IEEE International Electron Devices Meeting (IEDM) 13.2.1–13.2.4. (IEEE, 2018).

  • Sun, X., Wang, P., Ni, K., Datta, S. & Yu, S. Exploiting hybrid precision for training and inference: a 2T-1FeFET based analog synaptic weight cell. In 2018 IEEE International Electron Devices Meeting (IEDM) 3.1.1–3.1.4. (IEEE, 2018).

  • Tong, L. et al. 2D materials-based homogeneous transistor-memory architecture for neuromorphic hardware. Science 373, 1353–1358 (2021).

    Article  CAS  Google Scholar 

  • Zhang, W. et al. Neuro-inspired computing chips. Nat. Electron. 3, 371–382 (2020).

    Article  Google Scholar 

  • Luo, Q. et al. A highly CMOS compatible hafnia-based ferroelectric diode. Nat. Commun. 11, 1391 (2020).

    Article  CAS  Google Scholar 

  • Radisavljevic, B. et al. Single-layer MoS2 transistors. Nat. Nanotechnol. 6, 147–150 (2011).

    Article  CAS  Google Scholar 

  • Akinwande, D. et al. Graphene and two-dimensional materials for silicon technology. Nature 573, 507–518 (2019).

    Article  CAS  Google Scholar 

  • Liu, C. et al. Two-dimensional materials for next-generation computing technologies. Nat. Nanotechnol. 15, 545–557 (2020).

    Article  CAS  Google Scholar 

  • Marega, M. et al. Logic-in-memory based on an atomically thin semiconductor. Nature 587, 72–77 (2020).

    Article  Google Scholar 

  • Chung, Y.-Y. et al. High-accuracy deep neural networks using a contralateral-gated analog synapse composed of ultrathin MoS2 nFET and nonvolatile charge-trap memory. IEEE Electron Device Lett. 41, 1649–1652 (2020).

  • Chen, L., Pam, M. E., Li, S. & Ang, K.-W. Ferroelectric memory based on two-dimensional materials for neuromorphic computing. Neuromorph. Comput. Eng. 2, 022001 (2022).

    Article  Google Scholar 

  • Meng, W. et al. Three-dimensional monolithic micro-LED display driven by atomically thin transistor matrix. Nat. Nanotechnol. 16, 1231–1236 (2021).

    Article  CAS  Google Scholar 

  • Schram, T., Sutar, S., Radu, I. & Asselberghs, I. Challenges of wafer‐scale integration of 2D semiconductors for high‐performance transistor circuits. Adv. Mater. 34, 2109796 (2022).

    Article  CAS  Google Scholar 

  • Waltl, M. et al. Perspective of 2D integrated electronic circuits: scientific pipe dream or disruptive technology? Adv. Mater. 34, 2201082 (2022).

    Article  CAS  Google Scholar 

  • Chai, Y. In-sensor computing for machine vision. Nature 579, 32–33 (2020).

    Article  CAS  Google Scholar 

  • Mennel, L. et al. Ultrafast machine vision with 2D material neural network image sensors. Nature 579, 62–66 (2020).

    Article  CAS  Google Scholar 

  • Li, T. et al. Epitaxial growth of wafer-scale molybdenum disulfide semiconductor single crystals on sapphire. Nat. Nanotechnol. 16, 1201–1207 (2021).

    Article  CAS  Google Scholar 

  • Müller, J. et al. Ferroelectric hafnium oxide: a CMOS-compatible and highly scalable approach to future ferroelectric memories. In 2013 IEEE International Electron Devices Meeting (IEDM) 10.8.1–10.8.4 (IEEE, 2013).

  • Gong, N. & Ma, T.-P. A study of endurance issues in HfO2-based ferroelectric field effect transistors: charge trapping and trap generation. IEEE Electron Device Lett. 39, 15–18 (2018).

    Article  CAS  Google Scholar 

  • Y. Liu et al. 4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic. In 2016 IEEE International Solid-State Circuits Conference (ISSCC) 84–86 (IEEE, 2016).

  • International Roadmap for Devices and Systems (IRDSTM) 2021 Edition (IEEE, 2021); https://irds.ieee.org/editions/2021

  • Krivokapic, Z. et al. 14nm ferroelectric FinFET technology with steep subthreshold slope for ultra low power applications. In 2017 IEEE International Electron Devices Meeting (IEDM) 15.1.1–15.1.4 (IEEE, 2017).

  • Dünkel, S. et al. A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond. In 2017 IEEE International Electron Devices Meeting (IEDM) 19.7.1–19.7.4 (IEEE, 2017).

  • Zhao, C., Sun, Q., Zhang, C., Tang, Y. & Qian, F. Monocular depth estimation based on deep learning: an overview. Sci. China Technol. Sci. 63, 1612–1627 (2020).

    Article  Google Scholar 

  • Alhashim, I. & Wonka, P. High quality monocular depth estimation via transfer learning. Preprint at https://arxiv.org/abs/1812.11941 (2018).

  • Ronneberger, O., Fischer, P. & Brox, T. U-Net: convolutional networks for biomedical image segmentation. In Medical Image Computing and Computer-Assisted Intervention—MICCAI 234–241 (Springer, 2015).

  • Geiger, A., Lenz, P. & Urtasun, R. Are we ready for autonomous driving? The KITTI vision benchmark suite. In 2012 IEEE Conference on Computer Vision and Pattern Recognition (CVPR) 3354–3361 (IEEE, 2012).

  • Huang, G., Liu, Z., Maaten, L. V. D. & Weinberger, K. Q. Densely connected convolutional networks. In 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR) 2261–2269 (IEEE, 2017).

  • Deng, J. et al. ImageNet: a large-scale hierarchical image database. In 2009 IEEE Conference on Computer Vision and Pattern Recognition (CVPR) 248–255 (IEEE, 2009).

  • Wang, Z., Bovik, A. C., Sheikh, H. R. & Simoncelli, E. P. Image quality assessment: from error visibility to structural similarity. IEEE Trans. Image Process. 13, 600–612 (2004).

    Article  Google Scholar 

  • Eigen, D., Puhrsch, C. & Fergus, R. Depth map prediction from a single image using a multi-scale deep network. In 28th Conference on Neural Information Processing Systems (NIPS) (NIPS Foundation, 2014).

  • spot_img

    Latest Intelligence

    spot_img