Zephyrnet Logo

Tag: EUV lithography

NATO foreshock runs deep, through the Netherlands to China

The denunciations have died down of former U.S. President Donald Trump’s unprecedented recent invitation to Russia to attack NATO members that do not contribute...

Top News

Canon challenges ASML dominance with new chipmaking tech that could lead to cheaper chips

The chips we know and love are made with some of the most advanced manufacturing methods on the planet. The smallest nodes require billions...

The Significance of Point Spread Functions with Stochastic Behavior in Electron-Beam Lithography – Semiwiki

Electron beam lithography is commercially used to directly write submicron patterns onto advanced node masks. With the advent of EUV masks and nanometer-scale NIL...

Big Changes Ahead For Photomask Technology

The move to curvilinear shapes on photomasks is gaining steam after years of promise as a way of improving yield, lowering defectivity, and reducing...

Extension of DUV Multipatterning Toward 3nm – Semiwiki

China’s recent achievement of a 7nm-class foundry node using only DUV lithography raises the question of how far DUV lithography can be extended...

Modeling EUV Stochastic Defects With Secondary Electron Blur – Semiwiki

Extreme ultraviolet (EUV) lithography is often represented as benefiting from the 13.5 nm wavelength (actually it is a range of wavelengths, mostly ~13.2-13.8 nm),...

Novel Assist Layers To Enhance EUV Lithography Performance Of Photoresists On Different Substrates

In EUV lithography, good resist patterning requires an assist layer beneath it to provide adhesion to prevent pattern collapse of small features and allow...

High-NA Lithography Starting To Take Shape

The future of semiconductor technology is often viewed through the lenses of photolithography equipment, which continues to offer better resolution for future process nodes...

Why IC Industry Is Great Place To Work

Home OPINION To celebrate International Women in Engineering Day, Lam Research’s Samantha Tan talks about why the semiconductor industry is a...

A Primer on EUV Lithography – Semiwiki

Extreme ultraviolet (EUV) lithography systems are the most advanced lithography systems in use today. This article is a basic primer on this important yet...

Etch Processes Push Toward Higher Selectivity, Cost Control

Plasma etching is perhaps the most essential process in semiconductor manufacturing, and possibly the most complex of all fab operations next to photolithography. Nearly...

SPIE 2023 – imec Preparing for High-NA EUV – Semiwiki

The SPIE Advanced Lithography Conference was held in February. I recently had the opportunity to interview Steven Scheer, vice president of advanced patterning process...

Curvilinear Mask Patterning for Maximizing Lithography Capability

Masks have always been an essential part of the lithography process in the semiconductor industry. With the smallest printed features already being subwavelength for...

Latest Intelligence

spot_img
spot_img