Zephyrnet-logo

High-NA-lithografie begint vorm te krijgen

Datum:

De toekomst van halfgeleidertechnologie wordt vaak bekeken door de lenzen van fotolithografische apparatuur, die een betere resolutie blijft bieden voor toekomstige procesknooppunten ondanks een bijna voortdurend spervuur ​​van zeer uitdagende technologische problemen.

Jarenlang werd lithografie gezien als de belangrijkste fabricagegerelateerde poortfactor voor voortdurende schaalvergroting van apparaten, geteisterd door meerdere vertragingen die de doorvoer in de fab beïnvloedden en die aanhielden tot aan het 7nm-procesknooppunt. Die problemen zijn inmiddels overwonnen, maar er komen een groot aantal nieuwe aan, evenals enkele belangrijke verbeteringen.

Industrie-experts uit de ontwerp-, fotolithografie-, test- en meet- en verpakkingsgemeenschappen kwamen dit jaar bijeen op SEMICON West en DAC om productroutekaarten voor extreem ultraviolet (EUV) en de aanstaande EUV met hoge numerieke apertuur (high-NA EUV) te bespreken, waaronder de nieuwste onderzoeks- en ontwikkelingsinspanningen, evenals belemmeringen voor voortschrijdende lithografie-innovatie en schaaldichtheid. Onderwerpen van discussie waren onder meer het verbeteren van de efficiëntie van stroom en proces, het verbeteren van metrologietechnieken en het verkennen van nieuwe oplossingen zoals kromlijnige maskering en nieuwe chemieën voor fotoresists. Temidden van deze vooruitgang ligt echter een consistente focus op het behalen van hogere opbrengsten, hogere doorvoer en lagere kosten per chip.

Hoge NA EUV
Een groot deel van de discussie van dit jaar ging over wat er daarna komt voor EUV en de tijdlijn en technologische vereisten voor high-NA EUV. Michael Lercel, senior directeur strategische marketing bij ASML, zei dat het doel is om de energie-efficiëntie voor EUV te verbeteren, evenals de ontwikkelingsstatus van hun volgende generatie high-NA EUV-tools.

"EUV-gereedschappen zijn niet de meest energie-efficiënte, maar we doen er alles aan om de energie-efficiëntie en het gereedschap zelf te verbeteren, zodat de energie die nodig is om elke wafel te maken aanzienlijk wordt verbeterd", zei Lercel, wijzend op de rol van numerieke apertuur (NA) in de evolutie van deze tools. Hoewel het totale energieverbruik per belichting veel hoger is dan bij 193i-lithografie, betekent de mogelijkheid om enkelvoudige patronen van apparaten met een hogere dichtheid te ondersteunen, dat er minder belichtingen nodig zijn. Dat vermindert op zijn beurt de totale energie-output en cyclustijd.

High-NA verhoogt de numerieke apertuur van 0.33 tot 0.55, waardoor de resolutie wordt verbeterd van ongeveer 26 tot 30 nanometer pitch tot 16 nm pitch. Door het numerieke diafragma te vergroten, verbetert de resolutie, maar moet de optiek groter worden. Dat vereist een grotere machine, wat een bijkomend voordeel heeft. Het grotere gereedschap is ontworpen voor beter onderhoudsgemak om hoge productiviteitsniveaus te behouden en de hersteltijd na onderhoud naar productie te verbeteren. De nieuwe high-NA-systemen zijn ook meer modulair, waardoor het voor het serviceteam gemakkelijker is om individuele modules te vervangen.

Lercel onthulde dat het eerste volledig geassembleerde systeem is gebouwd, maar nog niet operationeel is omdat het niet over de definitieve optica beschikt. Hij verwacht later dit jaar het eerste licht over deze systemen.

"We zien de 0.55 insertie de komende jaren aankomen en verwachten dat klanten die in 2025 in productie zullen nemen", zei hij (zie figuur 1). "Daarna verkennen we hyper-NA met een 0.75 numerieke apertuur, die we over ongeveer tien jaar zien aankomen.

Fig. 1: ASML verwacht dat er binnen vier jaar 0.55 in productie zal zijn en binnen ongeveer tien jaar 0.75 Hyper EUV. Bron: ASML/SEMICON West

Fig. 1: ASML verwacht dat er binnen vier jaar 0.55 in productie zal zijn en binnen ongeveer tien jaar 0.75 Hyper EUV. Bron: ASML/SEMICON West
Fig. 1: ASML verwacht dat er binnen vier jaar 0.55 in productie zal zijn en binnen ongeveer tien jaar 0.75 Hyper EUV. Bron: ASML/SEMICON West

E-straal metrologie
Het gebruik van een hogere NA voor belichting betekent dat het licht de wafer onder een kleinere hoek raakt, ook wel de invalshoek genoemd. Als gevolg hiervan worden de verticale structuren of "beeldverhoudingen" van de kenmerken op de wafer een grotere uitdaging om nauwkeurig te observeren en te meten. Ofer Adan, senior directeur bij Toegepaste Materialen besprak de behoefte aan meer geavanceerde metrologietools om processen met een hoog NA-gehalte te ondersteunen. Bij het 2nm-knooppunt en verder worden defecten moeilijker te detecteren met de beeldvormingsmogelijkheden van conventionele elektronenbundeltechnologie.

Adan wees op recente ontwikkelingen op het gebied van Cold Field Emission (CFE)-technologie als een mogelijke oplossing voor metrologiebehoeften voor hoge NA. CFE is een type e-beam-bron die bij lagere temperaturen werkt en verschillende voordelen biedt ten opzichte van traditionele thermionische bronnen, waaronder verbeterde ruimtelijke resolutie, betere bundelstabiliteit en verminderde sferische aberratie. CFE werkt bij kamertemperatuur, wat resulteert in smallere elektronenbundels met hogere energie die een hogere resolutie en hogere beeldsnelheid produceren in vergelijking met conventionele thermische veldemissietechnologie (TFE) (zie afbeelding 2). De hogere helderheid van deze technologie zorgt voor beeldvorming en metingen met een hogere resolutie, maar de kleinere spotgrootte betekent dat de doorvoer aanzienlijk wordt beïnvloed.

"Er is een thermische veldcurve met CFE, wat de afweging is tussen de beeldsnelheid en de resolutie", zei Adan. “Je kunt de resolutie verlagen en een snellere doorvoer krijgen, of je kunt dezelfde snelheid behouden en een hogere resolutie krijgen. CFE biedt een 10x hogere snelheid dan TFE.”

Fig. 2: CFE biedt 10x snellere beeldvorming met dezelfde resolutie als TFE. Bron: Applied Materials/SEMICON West.

Fig. 2: CFE biedt 10x snellere beeldvorming met dezelfde resolutie als TFE. Bron: Applied Materials/SEMICON West.
Fig. 2: CFE biedt 10x snellere beeldvorming met dezelfde resolutie als TFE. Bron: Applied Materials/SEMICON West.

Tot voor kort was het gebruik van CFE beperkt tot laboratoriumomgevingen omdat de stabiliteit van de e-beam-kolom onvoldoende was voor de strenge eisen van de productie van halfgeleiders in grote volumes. Adan noemde twee innovaties die de stabiliteitsuitdaging hebben opgelost. De ene is een extreem ultrahoog vacuüm in de kolom en de tweede is een cyclisch zelfreinigend proces dat continu verontreinigingen uit de CFE-bron verwijdert, waardoor stabiele en herhaalbare prestaties mogelijk zijn.

Nieuwe procestechnologieën voor hoge NA
Angélique Raley, directeur van de etch-businessunit van TEL, wees op twee belangrijke trends die de toekomst van EUV bepalen. De eerste is de verschuiving van 2D- naar 3D-structuren, met name de overgang van finFET naar gate-all-around (GAA)-apparaten, die een aanzienlijke impact heeft op de processen die nodig zijn bij de fabricage van chips. De tweede draait om de continue kritische schaling van EUV, vooral omdat het betrekking heeft op de vermindering van de metaalpitch tot slechts 12 nm.

"Nu we overstappen van GAA naar stack channel FET's (CFET's), worden we geconfronteerd met nog hogere vereisten voor de beeldverhouding", aldus Raley. "Deze ontwikkeling benadrukt opnieuw het belang van zeer controleerbare isotrope en directionele etsprocessen."

Gate-all-around (GAA) apparaten zullen worden gedefinieerd door epitaxiale (epi) depositie met meerdere lagen, die een onberispelijke controle van dit depositieproces vereisen. Fabrikanten van halfgeleiders zullen sterk gecontroleerde isotrope etsingen moeten ontwikkelen die materialen gelijktijdig in alle richtingen kunnen etsen met selectiviteit.

Plasma-etsen blijft onmisbaar, vooral voor etsen met een hoge aspectverhouding. Contactetsen is bijvoorbeeld een ingewikkeld oxide-etsproces dat een hoge mate van controle vereist.

Met de introductie van high-NA EUV zullen fabrikanten moeten beslissen of ze een chemisch versterkte resist of een op metaaloxide gebaseerde resist willen gebruiken. Deze overgang, in combinatie met een verminderde scherptediepte, vereist een dunnere resist en daarom een ​​zeer nauwkeurige procescontrole voor het etsen. Dunnere resist betekent ook uitgebreider gebruik van harde maskers, omdat de fotoresist zelf sneller erodeert bij etschemie.

Droge weerstand
Een oplossing voor het resistprobleem met hoge NA is droge resist. Vergeleken met het conventionele chemisch versterkte (CAR) fotoresistproces, gebruikt droge resist een gasprecursorproces, waarbij droog resistmateriaal en een droog ontwikkelproces betrokken zijn, aldus Benjamin Eynon, senior directeur van EUV dry resist marketing bij Lam Research. De molecuulgrootte is zes keer kleiner dan die van CAR, waardoor veel fijnere details kunnen worden afgedrukt (zie afbeelding 3). Het vereenvoudigt ook het proces door vloeistof te verwijderen, waardoor de kans op instorting van het patroon wordt verkleind. Eynon merkte op dat droge resist ook een 5x tot 10x minder afval biedt, waardoor het een groenere keuze is.

Fig. 4: Beeldvorming met droge fotoresist kan lijnen en spaties van 13 nm vormen. Bron: Lam Research

Fig. 4: Beeldvorming met droge fotoresist kan lijnen en spaties van 13 nm vormen. Bron: Lam Research

Fig. 3: Beeldvorming met droge fotoresist kan lijnen en spaties van 16 nm en 13 nm vormen met een ruwheid van 3 nm lijnbreedte. Bron: Lam Research/SEMICON West

"Droge resist produceert meer consistente en voorspelbare structuren met minder afval", zegt Eynon. "We hebben ook een resolutievoordeel voor high-NA, waar CAR het moeilijk heeft onder de 35nm pitch, en we zien betere resultaten ver daaronder."

Hij legde uit dat het aanbrengen van wijzigingen in de dikte van de resist veel eenvoudiger is met droge resist dan met traditionele CAR. “Als ik in het verleden de resistleverancier om een ​​resist moest vragen die dunner spint, moest ik zes maanden wachten op alle testen. Nu kunnen we gewoon het recept veranderen en het vastleggen.”

Droge resist biedt voordelen in termen van het verwerkingsvenster en defectiviteit, die te verwaarlozen is, maar er zijn nog steeds barrières te overwinnen. Het verlagen van de dosis voor hoge NA kan leiden tot verhoogde ruwheid, dus er moet meer werk worden verzet om de dosisverlaging in evenwicht te brengen met andere factoren, zoals lijnbreedteruwheid (LWR).

Steven Sheer, senior vice-president geavanceerde patronen, processen en materialen bij imec, benadrukte ook de voordelen van droge resist ten opzichte van de beperkingen van CAR voor lijn- en ruimtebeeldvorming op de kleinere toonhoogtes die worden aangeboden door high-NA. Maar hij voegde eraan toe dat verder onderzoek nodig is om de dosis te verlagen en defectiviteit te verbeteren. Een lagere dosis op EUV-scanners correleert met een hogere doorvoer.

Imec bouwt momenteel een high-NA lab en pilootlijn in Leuven, België, op de campus van ASML. Dat zal in de eerste helft van 2024 worden geopend om gezamenlijk de tools en processen voor high-NA EUV-lithografie te onderzoeken, testen en ontwikkelen.

"High-NA EUV is meer een evolutie dan een revolutie", zei Sheer. "We moeten de tijdschaal verkorten om deze nieuwe technologieën in ongeveer twee jaar te produceren." Sheer verwacht dat het ideale invoegpunt voor hoge NA het knooppunt van 14 Angström (1.4 nm) zal zijn.

Een andere uitdaging met high-NA EUV betreft metrologie, met name met betrekking tot beeldvorming van zeer dunne materialen. Sheer noemde problemen met het meten van zwakke signaalretour in CD SEM's. Het optimaliseren van de landingsenergie, verschillende materialen en machine learning-algoritmen voor ruisonderdrukking, contrastextractie of automatische defectclassificatie werden voorgesteld als mogelijke oplossingen.

Maskerinnovatie is een ander belangrijk gebied dat Sheer ziet als een evolutionair proces voor high-NA EUV.

"Wat maskers en beeldvorming betreft, is een van de belangrijkste dingen die belangrijk is dat we low-n maskers onderzoeken om het algehele contrast te verbeteren", aldus Sheer. "Als je een pitch van 24 nanometer of lager begint te krijgen, begin je contrast te verliezen, tenzij je de low-n-maskers daadwerkelijk hebt geïmplementeerd. Dus we denken dat dit een belangrijke technologie is die ontwikkeld moet worden.”

Kromlijnige maskers voor een gebogen ontwerp
Gedurende drie decennia bleef de halfgeleidermaskertechnologie grotendeels ongewijzigd, waarbij het maken van maskers werd uitgevoerd op variabele vormmachines die variabele elementen beperkten tot een hoek van 45 graden. Naarmate functies kleiner werden en complexer werden, boden e-beam- en multibeam-maskerschrijvers flexibiliteit in ontwerp. Nu wordt vrijwel 100% van de maskers vervaardigd met behulp van multibeam-technologie, wat nieuwe mogelijkheden biedt voor meer ingewikkelde en efficiëntere ontwerpen op systemen met een hoog NA-gehalte.

In een panelpresentatie bij DAC, Aki Fujimura, CEO van D2S, besprak de opkomst van kromlijnige productie die nu mogelijk is en wekte belangstelling voor het potentieel ervan om de opbrengst te verhogen, de chipgrootte te verkleinen, minder stroom te verbruiken en de prestaties en betrouwbaarheid te verbeteren.

"Elke vorm kan nu met dezelfde nauwkeurigheid in dezelfde hoeveelheid tijd worden geprojecteerd", zegt Fujimura. "De levensduur van maskers is niet langer een functie van het soort vorm dat u probeert te presenteren, en daarom zijn de maskerkosten constant, ongeacht de vorm die u projecteert."

Een belangrijk doel van high-NA EUV is om de complexiteit te verminderen en de algehele doorlooptijd en kosten van de fabricage van wafels te verminderen, en kromlijnige maskers beloven aanzienlijke verbetering op die gebieden.

Steve Teig, CEO van Perceive, demonstreerde hoe curvy design het aantal via's in een chipontwerp tot 50% kan verminderen, de bedrading met 30% kan verminderen en de productiekosten tot 30% kan verlagen (zie afbeelding 4). "Door het aantal via's te verminderen, kan de draadlengte veel meer worden verkort dan u denkt", zei hij. “Het is mogelijk om het aantal via's enorm te verminderen en chips veel kleiner, veel sneller, veel goedkoper en met veel minder lagen te maken. Dit is de belofte van bochtige routes.”

Steve KTeig, CEO van Perceive, legt uit waarom via's niet je vriend zijn, tijdens het Curvy Design Panel, DAC 2023. Bron: Semiconductor Engineering / Susan Rambo

Steve KTeig, CEO van Perceive, legt uit waarom via's niet je vriend zijn, tijdens het Curvy Design Panel, DAC 2023. Bron: Semiconductor Engineering / Susan Rambo

Afb. 4: Perceive's Teig legt uit waarom via's niet je vriend zijn, op het Curvy Design Panel, DAC 2023. Bron: Semiconductor Engineering / Susan Rambo

Kromlijnige ontwerpen lossen ook veel stochastische problemen op bij lagere knooppunten. Teig vergeleek de huidige lithografieprocessen met het schieten van een pijl en boog op een doelwit en het mikken op de buitenrand in plaats van op de roos. "Als je een worstvormige draad afdrukt in plaats van een vierkante, kun je op het midden mikken en worden de problemen met stochastiek en lijnrandruwheid veel minder problematisch", zei hij.

Variatie vormt een andere uitdaging. "Hoeken van negentig graden zijn onmogelijk om daadwerkelijk op een wafel te produceren", voegde Fujimura eraan toe. “We weten dit, maar dat is het ontwerp dat we hebben, dus we proberen er zo dicht mogelijk bij te komen. En het belangrijkste bij productie is variatie - niet alleen dat je dit gemiddeld goed wilt hebben, maar dat je de standaarddeviatie van het gemiddelde zo klein mogelijk wilt hebben.

John Kibarian, president en CEO van PDF-oplossingenbenadrukte dat kromlijnig ontwerp een innovatieve toekomst belooft, vooral op het gebied van integratie, van systeemontwerp tot atoomherschikking. De unieke voordelen, zoals het verminderen van de hoogte van het spoor met behoud van porositeit en stabiliteit, zijn cruciaal voor toekomstige schaalvergroting.

Toch is de verschuiving naar kromlijnige productie niet zonder uitdagingen. De wijdverspreide acceptatie van deze innovatieve ontwerpbenadering vereist aanzienlijke veranderingen in elektronische ontwerpautomatisering (EDA)-software, organisatorische dynamiek en test- en meetprotocollen. Deze obstakels wegen echter ruimschoots op tegen de belofte van een hoger rendement, een kleinere chipgrootte, een lager stroomverbruik en verbeterde prestaties en betrouwbaarheid die kromlijnig ontwerp biedt aan de productie van halfgeleiders.

"De toekomst gaat veel meer over innovatie als integrators", zei Kibarian. “Als je kijkt naar wat onze branche zegt dat het komende decennium gaat brengen, dan gaat het om integratie op en neer door de stapel, van systeemontwerp tot lithografie, tot metrologie, tot nieuwe materialen die procesverbeteringen mogelijk maken. Alles waarmee u de afmetingen, het vermogen en de kosten kunt verkleinen en tegelijkertijd de stabiliteit kunt behouden, vindt zijn weg naar het proces, en dat is een van de grootste potentiële voordelen voor curvy design.”

Conclusie
De evolutie van fotolithografie is een hoeksteen voor de schaalvergroting van halfgeleiders, waardoor de voortdurende miniaturisatie van circuitpatronen en de bijbehorende toename in circuitdichtheid en prestaties mogelijk wordt. De toekomst van lithografie ziet er veelbelovend uit met de introductie van meer energie-efficiënte EUV-tools, de evolutie van hoog-numerieke diafragma-tools en de holistisch geïntegreerde benadering van innovaties voor het verbeteren van de resolutie en het regelen van dimensies.

Hoewel de industrie van vandaag erin is geslaagd om enig succes te boeken bij het integreren van nieuwe materialen in het ecosysteem, zorgt de verkleining van de veldgrootte die wordt geboden door high-NA-apparaten voor nieuwe uitdagingen voor resists, metrologie, het maken van maskers en procescontrole. Als de afgelopen 40 jaar echter iets hebben bewezen, is het dat de industrie altijd een weg vooruit vindt.

spot_img

Laatste intelligentie

spot_img