Zephyrnet Logo

Tag: backside power delivery

Ansys and Intel Foundry Direct 2024: A Quantum Leap in Innovation – Semiwiki

In the dynamic realm of technological innovation, collaborations and partnerships often serve as catalysts for groundbreaking advancements. Continuing along this trajectory, Ansys, a global...

Top News

IEDM Buzz – Intel Previews New Vertical Transistor Scaling Innovation – Semiwiki

For more than 65 years, the IEEE International Electron Devices Meeting (IEDM) has been the world’s pre-eminent forum for reporting technological breakthroughs in the...

Building Better Bridges In Advanced Packaging

The increasing challenges and rising cost of logic scaling, along with demands for an increasing number of features, are pushing more companies into advanced...

DAC/Semicon West Addresses Top Issues, Trends For Chips

The Design Automation Conference (DAC) 2023 and Semicon West returned in full force this week, drawing in more attendees and sponsor companies than since...

Blog Review: Jan. 4

Systems & Design Demand for verification engineers; retargeting older chips; RISC-V ecosystem; backside power delivery. ...

IEDM 2022 – TSMC 3nm

TSMC presented two papers on 3nm at the 2022 IEDM; “Critical Process features Enabling Aggressive Contacted Gate Pitch Scaling for 3nm CMOS Technology and...

X-Ray Device Alteration (XDA) Of Flip-Chip Packaged FinFET Devices

A new technical paper titled “X-Ray Device Alteration Using a Scanning X-Ray Microscope” was published by researchers at NVIDIA and Sigray. “Near Infra-Red (NIR) techniques...

How To Compare Chips

Traditional metrics for semiconductors are becoming much less meaningful in the most advanced designs. The number of transistors packed into a square centimeter only...

Latest Intelligence

spot_img
spot_img