제퍼넷 로고

Chiplets, 슈퍼컴퓨터 경쟁에 뛰어들다

시간

다양한 국가의 여러 기업이 오늘날의 슈퍼컴퓨터보다 1,000배 빠른 새로운 종류의 시스템인 칩렛 기반 엑사스케일 슈퍼컴퓨터를 제공하고 배포하기 위해 경쟁하고 있습니다.

최신 엑사스케일 슈퍼컴퓨터 CPU 및 GPU 설계는 고급 패키지의 복잡한 다이를 믹스 앤 매치하여 슈퍼컴퓨터를 위한 새로운 수준의 유연성과 사용자 정의를 추가합니다. 수년 동안 다양한 국가들이 이 분야에서 단순한 슈퍼컴퓨터 이상으로 확장되는 이점을 통해 주도적인 위치를 차지하기 위해 경쟁해 왔습니다. 이 크고 값비싼 시스템은 AI, 생물학, 국방, 에너지 및 과학 분야에서 엄청난 혁신을 일으킬 수 있는 길을 열어줍니다.

오늘날의 슈퍼컴퓨터와 새로운 엑사스케일 시스템은 양자 컴퓨터와는 완전히 다른 기존 컴퓨팅의 원리를 기반으로 합니다. 기존 컴퓨팅에서 정보는 XNUMX 또는 XNUMX이 될 수 있는 비트로 저장됩니다. 양자 컴퓨팅에서 정보는 XNUMX, XNUMX 또는 둘의 조합으로 존재할 수 있는 양자 비트 또는 큐비트에 저장됩니다. 중첩 상태는 양자 컴퓨터가 기존 시스템을 능가하는 성능을 제공하지만 양자 시스템이 실용화되려면 아직 몇 년이 걸립니다.

최첨단의 기존 슈퍼컴퓨터는 1천조 이상의 작업을 수행할 수 있습니다(1015) 초당 부동 소수점 연산(petaFLOPS 또는 Pflop/s). 오늘날 Riken과 Fujitsu가 만든 슈퍼컴퓨터인 Fugaku는 고성능 Linpack(HPL) 벤치마크 점수가 442 Pflop/s인 세계에서 가장 빠른 시스템입니다. HPL 점수는 특정 선형 방정식을 풀 때 시스템의 성능을 반영합니다. 시스템의 전반적인 성능을 반영하지 않습니다.

엑사스케일 속도
한편, 중국, 유럽, 일본, 미국의 여러 기관에서는 XNUMX조 계산을 수행하는 엑사스케일급 슈퍼컴퓨터를 개발하고 있습니다.1018) 또는 그 이상(exaFLOPS 또는 Eflop/s).

최근 중국에 있는 두 대의 슈퍼컴퓨터가 Eflop/s 장벽을 무너뜨렸다고 주장했지만 그 결과는 아직 입증되지 않았습니다. 그리고 올해 말, 미국은 Frontier라고 하는 1.5 Eflop/s 또는 더 빠른 시스템인 최초의 엑사스케일 슈퍼컴퓨터를 배포할 예정입니다. AMD의 서버 프로세서 및 GPU 가속기를 기반으로 하는 Frontier는 Oak Ridge 국립 연구소에 있습니다.

미국은 또한 Argonne 국립 연구소에서 건설 중인 Aurora를 포함하여 두 개의 다른 엑사스케일 슈퍼컴퓨터를 개발하고 있습니다. Aurora는 Intel의 서버 프로세서와 GPU를 기반으로 구축되었습니다.

아키텍처 관점에서 모든 슈퍼컴퓨터는 비슷합니다. 이러한 시스템은 각각 많은 컴퓨팅 노드로 구성된 다수의 랙으로 구성됩니다. 각 컴퓨팅 노드에는 여러 CPU와 GPU가 있습니다. 전통적으로 이러한 칩의 대부분은 모놀리식 다이에 모든 기능이 통합된 크고 복잡한 SoC(System-on-a-Chip) 장치였습니다.

변화하기 시작했습니다. 전부는 아니지만 일부 엑사스케일 슈퍼컴퓨터는 특히 미국 기반 시스템에서 칩렛 방식을 사용하고 있습니다. 이러한 시스템의 CPU와 GPU는 SoC 대신 더 작은 다이 또는 타일을 통합한 다음 이를 제작하여 고급 패키지로 재집합합니다. 간단히 말해서, 대형 SoC보다 더 높은 수율로 더 작은 다이를 제작하는 것이 상대적으로 더 쉽습니다.

패키지에 여러 다이를 통합한다는 아이디어는 특히 HPC(고성능 컴퓨팅)에서 새로운 것이 아닙니다. “하나의 패키지에 여러 개의 칩을 넣는 아이디어는 오래전부터 있었습니다. IBM은 1980년대 초에 멀티칩 캐리어를 사용하여 메인프레임을 구축했습니다.”라고 Hyperion Research의 수석 부사장인 Bob Sorensen이 말했습니다. “따라서 이론적으로 칩렛은 단일 패키지에 여러 다이가 구현된 가장 최근의 것일 뿐입니다. 그러나 칩렛을 사용하면 HPC 설계자가 HPC의 예상 워크로드에 가장 적합한 정확한 계산, 메모리 및 I/O 기능을 갖춘 프로세서를 구축할 수 있습니다.”

이 시장에는 몇 가지 변경 사항과 발표가 있습니다. 그 중:

  • 중국은 엑사스케일 슈퍼컴퓨터를 배치하고 있습니다.
  • 미국은 첫 번째 엑사스케일 시스템을 준비하고 있습니다.
  • AMD와 Intel은 엑사스케일 시대를 위한 칩에 대한 세부 정보를 공개했습니다.
  • 업계에서는 칩렛을 패키지로 연결하는 새로운 표준을 발표했습니다.

그림 1: 올해 후반에 배포될 예정인 Frontier 엑사스케일 슈퍼컴퓨터는 1.5 Eflops/s 성능을 목표로 합니다. 출처: 오크리지 국립연구소

그림 1: 올해 후반에 배포될 예정인 Frontier 엑사스케일 슈퍼컴퓨터는 1.5 Eflops/s 성능을 목표로 합니다. 출처: 오크리지 국립연구소

슈퍼컴퓨터 경주
Hyperion Research에 따르면 슈퍼컴퓨터 시장은 전체적으로 6.6년 2021억 달러에서 7.8년 2022억 달러로 성장할 것으로 예상됩니다. Hyperion은 슈퍼컴퓨터 시장을 리더십/엑사스케일, 대형(각 3만 달러 이상), 보급형(500,000만~3만 달러)의 세 부분으로 나눕니다. 각 엑사스케일 시스템은 약 600억 달러에 판매됩니다.

수년 동안 슈퍼컴퓨터는 수많은 애플리케이션에 사용되었습니다. 후지무라 아키(Aki Fujimura) CEO는 “슈퍼컴퓨팅은 일기예보와 같은 대규모 시뮬레이션 작업, 암호화폐 채굴과 같은 대규모 산술 컴퓨팅 작업, 위성 이미지 처리와 같은 대규모 이미지 처리 작업, 딥 러닝 교육을 위한 대규모 신경망 컴퓨팅 등 많은 일에 필요합니다.”라고 말했습니다. D2S. "반도체 제조에서 역 리소그래피 기술, 마스크 공정 수정, 마스크 및 웨이퍼의 시뮬레이션 기반 검증, 마스크 및 웨이퍼 검사와 같은 문제에 대해 광범위하게 사용됩니다."

타임라인으로 보면 컴퓨팅 분야는 엄청난 발전을 이뤘다. 1945년 펜실베니아 대학은 최초의 범용 전자 디지털 컴퓨터인 ENIAC를 개발했습니다. 데이터를 처리하기 위해 진공관을 사용하여 ENIAC은 초당 5,000개의 추가를 실행했습니다.

1950년대부터 트랜지스터는 많은 시스템에서 진공관을 대체하여 더 빠른 컴퓨터를 가능하게 했습니다. 칩의 핵심 빌딩 블록인 트랜지스터는 장치의 스위치 역할을 합니다.

1964년, 지금은 없어진 Control Data는 세계 최초의 슈퍼컴퓨터인 CDC 6600을 출시했습니다. 6600은 60MIPS 성능의 트랜지스터를 사용하는 2비트 프로세서를 통합했습니다. 그 이후로 슈퍼컴퓨터는 훨씬 더 강력해졌습니다. 동시에 다양한 국가들이 성과 주도권을 놓고 계속해서 서로 도약하고 있다.

예를 들어, 2008년 IBM의 Roadrunner는 1.026 Pflop/s의 성능으로 세계에서 가장 빠른 슈퍼컴퓨터였습니다. 이 이정표에 도달한 최초의 슈퍼컴퓨터가 되었습니다. 그러다가 2010년에 중국은 1 Pflop/s의 성능 수준을 가진 슈퍼컴퓨터인 Tianhe-2.57A로 선두 자리에 뛰어 들었습니다.

일본의 Fugaku는 2020년부터 슈퍼컴퓨팅 분야에서 1위 자리를 지키고 있습니다. IBM Summit은 미국에서 가장 빠른 슈퍼컴퓨터로 2위를 차지했습니다.

Fugaku 시스템은 총 158,976 Arm 프로세서 코어에 대해 7,630,848개의 컴퓨팅 노드로 구성됩니다. “각 노드에는 64코어 범용 프로세서 코어와 48개의 보조 코어로 구성된 A64FX라는 프로세서가 장착되어 있습니다. A7FX는 XNUMXnm 공정으로 제작되었습니다.”라고 Fujitsu/Riken의 연구원인 Shuji Yamamura가 최근 ISSCC 행사에서 한 논문에서 말했습니다.

Fugaku는 맞춤형 ARM 프로세서를 사용합니다. 칩렛 아키텍처가 아닙니다. 대조적으로, 중국의 슈퍼컴퓨터는 맞춤형 프로세서를 사용하는 경향이 있습니다. 많은 비 엑사스케일 슈퍼컴퓨터는 가맹점 칩을 사용합니다.

Hyperion의 Sorensen은 "주류가 더 많은 HPC 부문의 경우 하드웨어 결정은 주로 더 많은 주류 구성 요소의 가용성을 기반으로 합니다."라고 말했습니다. “여기에는 Intel CPU, Nvidia GPU 및 InfiniBand 상호 연결이 포함될 수 있습니다. HPC 워크로드 환경에 가장 적합하도록 구성하거나 전력 문제를 처리하기 위해 공격적인 패키징 및 냉각 기능을 가질 수 있습니다.”

CPU와 GPU는 모두 HPC에서 핵심적인 역할을 합니다. “순차 데이터 처리 유형의 프로그래밍에서 CPU는 GPU보다 비용 효율적인 경향이 있습니다. 그러나 주어진 데이터 단위에 대해 많이 계산하는 작업의 경우 GPU가 훨씬 더 효율적일 수 있습니다. 특히 컴퓨팅 작업을 SIMD(단일 명령 다중 데이터) 문제로 캐스트할 수 있는 경우에 그렇습니다. 이것은 많은 데이터가 병렬로 처리되고 다른 데이터에 대한 동일한 명령으로 실행되는 곳입니다.”라고 D2S의 Fujimura가 말했습니다.

엑사스케일 시대
앞으로 슈퍼컴퓨팅은 생물학, 국방, 과학 및 기타 분야에서 새로운 돌파구를 제공할 엑사스케일 시대로 접어들고 있습니다.

엑사스케일 시스템은 개발 비용이 많이 듭니다. Hyperion의 Sorensen은 "엑사스케일 범위에서 500억 달러 이상의 HPC는 전체 예산의 20% 이상을 맞춤형 칩, 상호 연결 및 기타 구성 요소와 같은 특수 기능 개발에 할당하여 일부 목표 워크로드 요구 사항을 충족할 수 있습니다."라고 말했습니다.

여러 기업이 엑사스케일 슈퍼컴퓨터를 개발하고 있습니다. 중국이 좁게 앞서고 있는 것으로 보이며, 그 뒤를 미국이 바짝 추격하고 있습니다. 유럽은 팩 뒤에 있습니다. 올해 초, 유럽 고성능 컴퓨팅 공동 사업(EuroHPC)은 엑사스케일 프로그램을 포함하여 몇 가지 새로운 프로젝트를 시작했습니다. EU가 언제 시스템을 시작할지는 불분명합니다.

Hyperion Research에 따르면 중국은 Sunway Oceanlite, Tianhe-3, Sugon 등 2021개의 엑사급 슈퍼컴퓨터를 보유하고 있습니다. Wuxi의 National Supercomputer Center에 설치된 Sunway Oceanlite는 1.3년에 완성되었습니다. 작년에 연구원들은 최고 성능에서 39010 Eflop/s 수준에 도달했다고 주장했습니다. 이 시스템은 내부적으로 설계된 SW38 CPU를 기반으로 합니다. Hyperion에 따르면 시스템은 전체적으로 XNUMX만 개 이상의 CPU 코어로 구성됩니다.

작년 말에 완성된 Tianhe-3는 1.7 Eflop/s의 성능을 보여주었습니다. 한편, 수곤 시스템은 지연되고 있다. 중국에서의 실적 결과는 확인되지 않았습니다.

중국은 전통적인 맞춤형 프로세서를 사용하는 경향이 있지만 미국 기반의 엑사스케일 시스템은 다른 접근 방식을 취하고 있습니다. CPU와 GPU는 칩렛을 활용하여 다이를 혼합하여 패키지로 조립합니다.

현재까지 AMD, Intel, Marvell 등이 개발했습니다. 칩렛- 주로 서버 및 기타 고급 응용 프로그램을 위한 기반 설계. 이 개념은 슈퍼컴퓨팅에도 이상적입니다.

의 모회사인 Promex의 사장 겸 CEO인 Richard Otte는 "칩렛은 상당한 크기 감소, 더 낮은 전력 소비, 더 나은 고속 성능을 포함하여 특성의 이점을 활용하는 여러 응용 프로그램에 구현될 것입니다."라고 말했습니다. QP 기술. "예를 들어 DoD와 DARPA는 가장 빠른 슈퍼컴퓨터를 실험실에 도입하기 위해 노력하고 있으며 칩렛이 이를 가능하게 할 것입니다."

오늘날 미국은 오로라(Aurora), 엘 캐피탄(El Capitan), 프론티어(Frontier)의 세 가지 엑사스케일 시스템을 운영하고 있습니다. Frontier는 2022년 말에 운영될 예정이며 Aurora와 El Capitan은 2023년에 운영될 예정입니다.

2019년 미국 에너지부(DOE)는 Cray에게 Oak Ridge National Labs에서 Frontier 엑사스케일 슈퍼컴퓨터를 구축하는 계약을 체결했습니다. 2019년 Cray는 Hewlett Packard Enterprise(HPE)에 인수되었습니다.

HPE는 다수의 컴퓨팅 노드를 지원하는 Frontier용 플랫폼을 구축했습니다. 각 컴퓨팅 노드는 AMD의 서버 CPU 중 하나와 XNUMX개의 AMD GPU 가속기를 지원합니다.

TSMC의 6nm 공정을 기반으로 하는 AMD의 새로운 GPU 가속기는 총 58억 개의 트랜지스터로 구성된 380개의 다이를 통합합니다. 아키텍처는 최고 성능의 XNUMX테라플롭을 능가합니다.

GPU 아키텍처는 2.5D 트위스트 패키지. 대부분의 2.5D/3D 패키지에서 다이는 적층되거나 인터포저 상단에 나란히 배치됩니다. 실리콘 관통 비아 (TSV). TSV는 다이에서 보드로의 전기적 연결을 제공합니다.

“TSV는 3D-IC, [제공] 적층 칩 사이의 전기적 연결. TSV를 사용하는 3D-IC 기술의 주요 이점은 서로 다른 구성 요소 간의 상호 연결이 훨씬 더 짧아 저항 용량 지연과 장치 풋프린트가 더 작아진다는 것입니다. UMC, 최근 논문에서.

그림 2 : 고성능 컴퓨팅 패키징, 인터 포저 기반 2.5D 및 FOCoS (Fan-Out Chip on Substrate)를위한 다양한 옵션. 출처 : ASE

그림 2 : 고성능 컴퓨팅 패키징, 인터 포저 기반 2.5D 및 FOCoS (Fan-Out Chip on Substrate)를위한 다양한 옵션. 출처 : ASE

2.5D/3D 패키지에서는 접속자 작동하지만 구조에 낭비되는 공간이 있습니다. 따라서 여러 회사에서 실리콘 브릿지. 브리지는 패키지의 한 칩을 다른 칩에 연결하는 라우팅 레이어가 있는 작은 실리콘 조각입니다. 일례로 Intel은 일반적으로 기판에 내장되는 실리콘 브리지인 EMIB(Embedded Multi-die Interconnect Bridge)를 개발했습니다.

한편, AMD의 GPU에는 GPU를 적층하고, 고 대역폭 메모리 (HBM) 실리콘 브리지에 나란히. HBM은 기본적으로 DRAM 메모리 스택입니다.

기판에 내장된 EMIB와 달리 AMD는 기판 위에 브리지를 놓습니다. AMD는 이것을 2.5D EFB(Elevated Fanout Bridge)라고 부릅니다.

그림 3: 기판 기반 브리지 대 AMD의 2.5D EFB(Elevated Fanout Bridge) 출처: AMD

그림 3: 기판 기반 브리지 대 AMD의 2.5D EFB(Elevated Fanout Bridge) 출처: AMD

다른 엑사스케일 슈퍼컴퓨터가 작업 중입니다. 얼마 전 Lawrence Livermore National Laboratory, HPE 및 AMD는 2 Eflop/s를 초과할 것으로 예상되는 엑사스케일 시스템인 El Capitan을 발표했습니다. 이 시스템은 AMD의 칩렛 기반 CPU 및 GPU를 기반으로 합니다.

한편 2019년 DOE, Intel 및 HPE는 ≥2 Eflop/s 시스템인 Aurora를 구축할 계획을 발표했습니다. 원래 오로라는 2021년 아르곤에 인도될 예정이었으나 인텔의 칩 지연으로 인해 미뤄졌다.

Aurora는 9,000개 이상의 컴퓨팅 노드가 있는 HPE의 슈퍼컴퓨터 플랫폼을 기반으로 합니다. 각 노드는 10개의 Intel Sapphire Rapids 프로세서, 230개의 Intel GPU 가속기(코드명 Ponte Vecchio) 및 통합 메모리 아키텍처로 구성됩니다. XNUMX페타바이트(PB)의 메모리와 XNUMXPB의 스토리지로 구성됩니다.

Sapphire Rapids는 4개의 더 작은 CPU 다이를 패키지에 통합한 차세대 Xeon 프로세서입니다. Intel의 7nm finFET 공정을 기반으로 다이는 EMIB를 사용하여 연결됩니다.

이 프로세서는 100MB 이상의 공유 L3 캐시, 8개의 DDR5 채널 및 32GT/s PCIe/CXL 레인으로 구성됩니다. 인텔의 수석 엔지니어인 네바인 나시프(Nevine Nassif)는 최근 ISSCC에서 발표한 프레젠테이션에서 "새로운 기술에는 AI 워크로드 가속화를 위한 매트릭스 곱셈 기능인 인텔 AMX(Advanced Matrix Extensions)와 새롭게 부상하는 워크로드를 처리하는 새로운 가상화 기술이 포함됩니다."라고 말했습니다. 이벤트.

Aurora에서 CPU는 Intel의 Xe-HPC 마이크로아키텍처 기반 GPU인 Ponte Vecchio와 함께 작동합니다. 이 복잡한 장치는 패키지의 47개 프로세스 노드에 100개의 타일을 통합합니다. 전체적으로이 장치는 XNUMX 억 개 이상의 트랜지스터로 구성됩니다.

기본적으로 Ponte Vecchio는 기판에 두 개의 기본 다이를 쌓습니다. 각 기본 다이에서 Intel은 메모리 패브릭을 쌓은 다음 컴퓨팅 및 SRAM 타일을 쌓습니다. 이 장치에는 2개의 HBMXNUMXE 타일도 있습니다. 다이가 서로 통신할 수 있도록 인텔은 독점 다이-투-다이 링크를 사용합니다.

Intel의 7nm 공정을 기반으로 하는 16개의 기본 다이는 GPU용 통신 네트워크를 제공합니다. 다이에는 메모리 컨트롤러, 전압 조정기, 전원 관리 및 5 PCIe GenXNUMX/CXL 호스트 인터페이스 레인이 포함됩니다.

인텔은 각 기본 다이에 8개의 컴퓨팅 타일과 4개의 SRAM 타일을 쌓습니다. 컴퓨팅 타일은 TSMC의 5nm 공정을 기반으로 하는 반면 SRAM은 Intel의 7nm 기술을 기반으로 합니다.

전체적으로 이 장치는 16개의 컴퓨팅 타일과 8개의 SRAM 타일을 통합합니다. 각 컴퓨팅 타일에는 8개의 코어가 있습니다. 인텔 펠로우인 윌프레드 고메스(Wilfred Gomes)는 “각 코어에는 8비트 부동 소수점/정수 피연산자를 처리하는 512개의 벡터 엔진과 8비트 벡터 연산을 실행하는 8깊은 수축기 배열이 있는 4096개의 매트릭스 엔진이 있다”고 말했다. ISSCC.

전력 공급을 위해 인텔은 베이스 다이에 소위 완전 통합 전압 조정기(FIVR)를 구현합니다. Gomes는 “기본 다이의 FIVR은 300V 전원에 기본 다이당 최대 0.7W를 제공합니다. "3D 스택 FIVR은 다중 전압 영역에 대한 고대역폭 미세 제어를 가능하게 하고 입력 전류를 줄입니다."

열 관리는 고급 패키징에서 중요한 과제입니다. 이 문제를 해결하기 위해 Intel은 GPU에 방열판을 배치합니다. 그런 다음 열 인터페이스 재료(TIM)가 상단 다이에 적용됩니다.

“TIM은 다른 다이 스택 높이로 인해 발생하는 에어 갭을 제거하여 열 저항을 줄입니다. 47개의 기능성 타일 외에도 16개의 추가 열 차폐 다이가 적층되어 노출된 기본 다이 영역에 열 솔루션을 제공하여 열을 전도합니다.”라고 Gomes가 말했습니다.

칩렛을 개발하는 방법
슈퍼컴퓨팅은 칩렛의 많은 응용 프로그램 중 하나일 뿐입니다. 최근에 여러 공급업체에서 서버용 칩렛과 유사한 디자인을 개발했습니다. 미래의 chiplet 아키텍처가 작업 중입니다.

칩렛과 같은 디자인을 개발하는 것은 매력적이지만 몇 가지 문제가 있습니다. 칩렛을 개발하려면 리소스와 여러 요소가 필요합니다.

언급한 바와 같이 칩렛에서는 대형 SoC를 설계하는 대신 처음부터 더 작은 다이를 사용하여 칩을 설계합니다. 그런 다음 다이를 제작하고 패키지로 다시 조립합니다. 이와 관련된 몇 가지 설계 고려 사항이 있습니다.

이춘 CTO는 “어떤 의미에서는 이런 고급 패키지나 첨단 제품에는 고밀도 인터커넥트가 필요하다”고 말했다. JCET. “그러므로 그런 맥락에서 패키징 자체는 더 이상 캡슐화되어 있는 패키지의 단일 다이가 아닙니다. 고급 패키징에서는 레이아웃, 칩 및 패키지와의 상호 작용, 이러한 레이어를 라우팅하는 방법에 대해 생각해야 합니다. 문제는 패키지에서 최적의 성능 또는 최대 성능을 얻기 위해 레이아웃을 실제로 최적화하는 방법입니다."

그것만이 문제가 아닙니다. 패키지에는 일부 다이가 쌓여 있습니다. 다른 다이는 패키지의 다른 곳에 있습니다. 따라서 다이 간 상호 연결을 사용하여 하나의 다이를 다른 다이에 연결하는 방법이 필요합니다.

오늘날의 칩렛과 같은 디자인은 독점 버스와 인터페이스를 사용하여 다이를 연결하므로 기술 채택이 제한됩니다. 여러 조직에서 개방형 버스 및 인터페이스 표준에 대해 작업하고 있습니다.

가장 최근의 노력으로 ASE, AMD, Arm, Google, Intel, Meta, Microsoft, Qualcomm, Samsung 및 TSMC는 최근 칩렛 지원 다이 간 상호 연결 표준을 수립하는 컨소시엄을 구성했습니다. 이 그룹은 또한 패키지 수준에서 개방형 산업 상호 연결 표준인 UCIe 사양을 비준했습니다. UCIe 1.0 사양은 die-to-die I/O 물리 계층, die-to-die 프로토콜 및 소프트웨어 스택을 다룹니다.

엔지니어링 및 기술 이사인 Lihong Cao는 "칩렛의 시대가 도래하면서 업계가 실리콘 중심 사고에서 시스템 수준 계획으로 진화하고 IC와 패키지의 공동 설계에 결정적인 초점을 맞추게 되었습니다."라고 말했습니다. 마케팅 ASE. "우리는 UCIe가 멀티벤더 생태계 내 다양한 ​​IP 간의 인터페이스에 대한 개방형 표준을 통해 개발 시간과 비용을 줄이고 고급 패키지 수준 상호 연결을 활용하여 생태계 효율성을 구현하는 데 중추적인 역할을 할 것이라고 확신합니다."

그렇다고 모든 문제가 해결되는 것은 아닙니다. 모든 패키지에서 열 예산은 큰 문제입니다. 의 고급 패키징 개발 및 통합 담당 부사장인 Michael Kelly는 "전력 손실과 전력 사용은 큰 문제입니다."라고 말했습니다. 앰코. “패키지 수준에서의 통합으로 인해 패키징 업계에서 인기를 얻고 있습니다. 불행히도 실리콘은 많은 낭비되는 열을 발생시킵니다. 열적으로 효율적이지 않습니다. 당신은 어딘가에 열을 버려야합니다. 전화 케이스든 데이터 센터의 냉각기든 최종 제품에서 열 분산을 수행하는 사람을 위해 가능한 한 열 효율을 높여야 합니다. 고성능 패키지에 얼마나 많은 실제 전류를 전달해야 하는지도 흥미로워지고 있습니다. 전력은 내려가지 않지만 전압은 내려가고 있습니다. 동일한 총 전력 또는 더 많은 전력을 전달하기 위해 전류가 상승합니다. 일렉트로마이그레이션과 같은 문제를 해결해야 합니다. 패키지에 더 많은 전압 변환 및 전압 조정이 필요할 것입니다. 그렇게 하면 더 높은 전압을 패키지로 가져온 다음 더 낮은 전압으로 분리할 수 있습니다. 즉, 패키지에 많은 총 전류를 끌어들일 필요가 없습니다. 그래서 권력은 두 가지 방식으로 우리를 공격하고 있습니다. 열이 나지만 전력 공급 네트워크를 전기적으로 관리하기도 합니다. 열 전력 분산에 최선을 다하는 동시에 패키지에 더 많은 콘텐츠를 포함해야 합니다.”

결론
분명히 chiplet은 활성화 기술을 구성하고 서버 디자인으로 나아가고 있습니다. 최근 Apple은 칩렛과 유사한 프로세서 디자인을 갖춘 Mac 데스크탑을 출시했습니다. 이제 칩렛 기반 엑사스케일 슈퍼컴퓨터가 등장합니다.

엑사스케일 슈퍼컴퓨터의 경우 Frontier, El Capitan 및 Aurora 시스템에 칩렛 기반 접근 방식이 사용됩니다. Fugaku 및 Sunway Oceanlite와 같은 다른 제품은 계속해서 전통적인 SoC 기반 접근 방식을 따릅니다. 두 가지 방법 모두 작동합니다. 레이스를 시작합니다.

관련 기사
위대한 양자 컴퓨팅 경주
기업과 국가는 다양한 큐비트 기술에 수백억 달러를 쏟아붓고 있지만 승자를 예측하기에는 아직 이르다.

차세대 3D 칩/패키징 경쟁 시작
하이브리드 본딩은 패키징에서 완전히 새로운 수준의 성능을 제공하지만 유일한 개선 사항은 아닙니다.

함께 조립
이 패키징 접근 방식을 주류로 밀어 넣을 수 있는 변경 사항과 앞으로의 과제.

고급 패키징의 다음 물결
옵션의 긴 목록은 다중 칩 패키지를 설계의 최전선으로 추진하는 동시에 어지러울 정도로 많은 옵션과 절충안을 생성합니다.

포스트 Chiplets, 슈퍼컴퓨터 경쟁에 뛰어들다 첫 번째 등장 반도체 공학.

spot_img

최신 인텔리전스

spot_img

우리와 함께 채팅

안녕하세요! 어떻게 도와 드릴까요?