ゼファーネットのロゴ

アナログ IMC 用の精度が最適化された固定小数点ニアメモリ デジタル プロセッシング ユニット (IBM および ETH Zurich)

日付:

「アナログ・インメモリー・コンピューティングのための、精度が最適化された固定小数点ニアメモリー・デジタル・プロセッシング・ユニット」というタイトルの技術論文が、IBM Research EuropeとIIS-ETH Zurichの研究者によって発表されました。

要約:

「アナログ インメモリ コンピューティング (AIMC) は、高速でエネルギー効率の高い深層学習 (DL) 推論のための新しいテクノロジーです。ただし、メモリデバイスに関連する回路の不一致や非理想性に対処するには、ある程度のデジタル後処理が必要です。 AIMC の高い面積/エネルギー効率と低遅延を維持するには、効率的なニアメモリ デジタル ロジックが不可欠です。既存のシステムは浮動小数点 16 (FP16) 演算を採用していますが、並列化能力は限られており、待ち時間が長くなります。これらの制限を克服するために、固定小数点演算に基づくニアメモリ デジタル処理ユニット (NMPU) を提案します。領域のオーバーヘッドを最小限に抑えながら、以前のアプローチよりも優れた精度と高いコンピューティング スループットを実現します。さらに、NMPU は、ReLU やバッチ正規化などの標準的な DL アクティベーション ステップをサポートします。当社は、14 nm CMOS テクノロジーで NMPU 設計の物理実装を実行し、詳細なパフォーマンス、消費電力、および面積の評価を提供します。 AIMC チップからのデータを使用して NMPU の有効性を検証し、提案された NMPU を使用してシミュレートされた AIMC システムが既存の FP16 ベースの実装よりも優れていることを実証します。× スピードアップ、7.8× 面積が小さくなり、競争力のある消費電力が得られます。さらに、私たちのアプローチは、それぞれ CIFAR86.65/CIFAR65.06 データセットでトレーニングされた ResNet0.12/ResNet0.4 ネットワークでベンチマークした場合、FP16 ベースラインと比較してわずか 9 %/32 % の精度低下で、10 %/100 % の推論精度を達成しました。」

見つける テクニカルペーパーはこちら。 2024 年 XNUMX 月に発行 (プレプ​​リント)。

フェロ、エレナ、アタナシオス・バシロプロス、コーリー・ラミー、マヌエル・ル・ガロ、ルカ・ベニーニ、アイレム・ボイバット、アブ・セバスティアン。 「アナログ インメモリ コンピューティング用の、精度が最適化された固定小数点ニアメモリ デジタル プロセッシング ユニット」 arXiv プレプリント arXiv:2402.07549 (2024)。

関連レディング
3D 統合が CIM の多用途性と精度をサポート
コンピューティングインメモリの制限を解決するには、新しいアプローチと次元が必要です。
Compute In Memory による AI のエネルギー効率の向上
ゼッタスケールのワークロードを処理し、固定電力バジェット内に抑える方法。

スポット画像

最新のインテリジェンス

スポット画像