Zephyrnet-Logo

Modellierung stochastischer EUV-Defekte mit sekundärer Elektronenunschärfe – Semiwiki

Datum:

Es wird oft dargestellt, dass die Lithographie im extremen Ultraviolett (EUV) von der Wellenlänge von 13.5 nm profitiert (tatsächlich handelt es sich um einen Wellenlängenbereich, meist ~13.2–13.8 nm), obwohl sie tatsächlich durch die Wirkung von Sekundärelektronen funktioniert, also Elektronen, die von Photoelektronen freigesetzt werden selbst aus der Ionisierung durch absorbierte EUV-Photonen (~90–94 eV) befreit. Die Photonen werden nicht nur im Fotolackfilm absorbiert, sondern auch in den darunter liegenden Schichten. Die freigesetzten Elektronen wandern unterschiedlich weit vom Absorptionspunkt entfernt und verlieren dabei Energie.

Diese Migrationsentfernungen können über 10 nm betragen [1-2]. Folglich unterliegen Bilder, die durch EUV-Lithographie erzeugt werden, einem Effekt, der als Unschärfe bekannt ist. Unter Unschärfe lässt sich im Wesentlichen die Verringerung des Unterschieds zwischen der minimalen und maximalen chemischen Reaktion des Fotolacks verstehen. Unschärfe wird oft durch eine Gaußsche Funktion modelliert, die mit dem ursprünglichen optischen Bild gefaltet wird [3–4].

Bei solchen Modellierungen wird jedoch oft vernachlässigt, zu erwähnen, dass die Unschärfeskalenlänge, oft auch als Sigma bezeichnet, keine grundsätzlich feste Zahl ist, sondern zu einer Verteilung gehört [5]. Dies steht im Einklang mit der Tatsache, dass die höhere EUV-Dosis zu einer größeren beobachteten Unschärfe führt [2,5]. Mehr freigesetzte Elektronen ermöglichen einen größeren Bereich zurückgelegter Entfernungen [2,6]. Beachten Sie, dass reine chemische Unschärfe durch Diffusion nicht die gleiche Dosisabhängigkeit aufweist [3,7].

Kürzlich wurde gezeigt, dass die mit der Dosis zunehmende Sekundärelektronenunschärfe zu den beobachteten stochastischen Defekten in der EUV-Lithographie führen kann [8]. Die höhere Dosis führt zu einem größeren zulässigen Unschärfebereich.

Modellierung stochastischer EUV-Defekte mit Sekundärelektronenunschärfe

Lokaler Basis-Unschärfebereich bei unterschiedlichen Dosen, aufgenommen mit unterschiedlichen Wahrscheinlichkeiten aus der Basis-Unschärfe-Wahrscheinlichkeitsverteilung.

Das Simulationsmodell kombiniert drei Stufen der Zufallszahlengenerierung: (1) Photonenabsorption, (2) Sekundärelektronenausbeute und (3) elektronendosisabhängiger Unschärfebereich. Unbelichtete stochastische Defekte dominieren bei niedrigen Dosen, wenn zu wenige Photonen absorbiert werden. Freiliegende stochastische Defekte dominieren bei höheren Dosen, wo die seltene (z. B. Wahrscheinlichkeit ~ 1e-8) ultrahohe (>10 nm) Unschärfe eine zu starke Sekundärelektronenbelichtung in der Nähe des Schwellenwerts für das Drucken fördert.

Für dieses Bild wurde kein Alternativtext bereitgestellt

Eine höhere Unschärfe erleichtert es kleineren stochastischen Dosisschwankungen, die Druckschwelle zu überschreiten, was belichtete oder unbelichtete Defekte ermöglicht.

Eine Folge sowohl der unzureichenden Absorption niedriger Photonen als auch der durch eine erhöhte Dosis verursachten Unschärfe, die Defekte verursacht, ist die Entstehung eines Bodens oder Tals für stochastische Defekte, wodurch verhindert wird, dass diese vollständig fehlen.

Modellierung stochastischer EUV-Defekte mit Sekundärelektronenunschärfe

Bei niedrigerer Dosis oder exponierter CD kommt es tendenziell zu unbelichteten Defekten, während bei höherer Dosis oder exponierter CD tendenziell exponierte Defekte auftreten. Dies führt zu einem Boden oder Tal für das Auftreten stochastischer Fehler.

Es ist daher sehr riskant, in keinem Modell für EUV-Lithographiebilder oder Defektbildung dosisabhängige Sekundärelektronenunschärfebereiche einzubeziehen.

Bibliographie

[1] I. Bespalov, „Schlüsselrolle sehr niederenergetischer Elektronen in zinnbasierten molekularen Resisten für die Nanolithographie im extremen Ultraviolett“, ACS Appl. Mater. Schnittstellen 12, 9881 (2020).

[2] S. Grzeskowiak et al., „Measuring Secondary Electron Blur“, Proc. SPIE 10960, 1096007 (2019).

[3] D. Van Steenwinckel et al., „Lithographic Importance of Acid Diffusion in Chemically Amplified Resists“, Proc. SPIE 5753, 269 (2005).

[4] T. Brunner et al., „Impact of Resist Blur on MEF, OPC, and CD Control“, Proc. SPIE 5377, 141 (2004).

[5] A. Narasimhan et al., „Untersuchung des Sekundärelektronenverhaltens in EUV-Resisten mithilfe von Experimenten und Modellierung“, Proc. SPIE 942, 942208 (2015).

[6] M. Kotera et al., „Extreme Ultraviolet Lithography Simulation by Tracing Photoelectron Trajectories in Resist, Jpn. J. Appl. Physik. 47, 4944 (2008).

[7] M. Yoshii et al., „Einfluss der Resistunschärfe auf die Auflösung der Hyper-NA-Immersionslithographie über 45-nm-Halbabstand hinaus“, J. Micro/Nanolith. MEMS MOEMS 8, 013003 (2009).

[8] F. Chen, „EUV Stochastic Defects from Secondary Electron Blur Increasing With Dose“, https://www.youtube.com/watch?v=Q169SHHRvXE, 8.

Dieser Artikel erschien zuerst in LinkedIn Pulse: Modellierung stochastischer EUV-Defekte mit Sekundärelektronenunschärfe

Lesen Sie auch:

Verbesserte stochastische Bildgebung in der EUV-Lithographie mit hoher NA

Anwendungsspezifische Lithographie: Via-Trennung für 5 nm und mehr

ASML-Update SEMICON West 2023

Teile diesen Beitrag über:

spot_img

VC-Café

VC-Café

Neueste Intelligenz

spot_img