Zephyrnet-Logo

Adaptive Testrampen für das Data-Intelligence-Zeitalter

Datum:

Weithin verfügbare und nahezu unbegrenzte Rechenressourcen, gepaart mit der Verfügbarkeit ausgefeilter Algorithmen, öffnen die Tür für adaptives Testen. Die Geschwindigkeit, mit der dieser Testansatz umgesetzt wird, wird jedoch weiterhin variieren, da weiterhin Bedenken hinsichtlich der gemeinsamen Nutzung von Daten und der Möglichkeit von IP-Diebstahl und Datenlecks bestehen.

Beim adaptiven Testen geht es darum, mithilfe von Testdaten und anderen Eingaben rechtzeitig Änderungen an einem Testprogramm vorzunehmen, um die Qualität oder Kosten jedes zu testenden Geräts (DUT) zu verbessern. Im Kern sind verschiedene Methoden, die Herstellungstestbedingungen, Testinhalte oder Testgrenzen ändern, um die Ausgangsqualität und Zuverlässigkeit von Halbleiterbauelementen zu erhöhen. Die Grundidee besteht darin, nur die richtigen Testinhalte auf das Gerät anzuwenden und dabei vom Tester, den On-Die-Sensoren oder relevanten Daten aus vorherigen Schritten generierte Daten zu nutzen, um den Testbedarf vorherzusagen. Tests können hinzugefügt werden, um sicherzustellen, dass risikobehaftete Teile die Zuverlässigkeitsanforderungen erfüllen, oder sie können entfernt werden, wenn keine Fehler gefunden werden.

„Ausreißer-Screening für Zuverlässigkeits-Screening, das erstmals in den 2000er Jahren für Automobilgeräte aufkam, gilt immer noch als grundlegender Treiber für adaptive Tests“, sagte John Carulli, Fellow im PostFab Development Center von GlobalFoundries. „Adaptives Testen auf Wafer-Ebene ist im Kontext der Nachbearbeitung am wirkungsvollsten und am einfachsten umzusetzen. Mit der neuesten Software und den neuesten Datensystemen gibt es mehr Möglichkeiten, Daten für Entscheidungen über das Einsetzen von Wafer- und Moduloperationen sowie für Systemtests bereitzustellen.“

Während in Testeinrichtungen heute adaptive Tests eingesetzt werden, bei denen auf maschinellem Lernen basierende Algorithmen und Datenanalysen genutzt werden, um die Gerätequalität zu verbessern, geschieht dies größtenteils offline.

„Adaptive Tests finden rund um eine Datenpopulation statt“, sagte Greg Prewitt, Direktor von Exensio Solutions bei PDF-Lösungen. „Normalerweise gehen die Leute die Charakterisierungsdaten noch einmal durch und sehen sich eine Fülle von Produktionsdaten an, die sie bisher gesammelt haben. Sie schauen sich die Tests an, die nie fehlschlagen, und sagen sich mit gutem technischen Urteilsvermögen: „Ich nehme diesen Test gerne an.“ für dieses Gerät.' Die Testprogramme werden überarbeitet, und man würde bestimmte Tests einfach weglassen, um eine adaptive Testzeitverkürzung (ATTR) zu erreichen.“

Die technischen Hürden bei der Implementierung adaptiver Tests scheinen überwindbar. Das eigentliche Problem ist die inhärente Komplexität der Logistik. „Ein Großteil der Komplexität adaptiver Tests besteht in der Orchestrierung und Verwaltung des Prozesses der Datenbereitstellung am richtigen Ort zur richtigen Zeit“, sagte Michael Schuldenfrei, NI-Fellow bei Emerson Test & Measurement. „Zum Beispiel können Testdaten aus der Wafer-Sortierung beim Endtest genutzt werden, um parametrische Abweichungen über eine Reihe von Parametern hinweg zu identifizieren, vorausgesetzt, die Teile verfügen über eine elektronische Chip-Identifizierung (ECID) oder eine andere Methode zur Rückverfolgbarkeit. Dies erfordert, dass dem Testprogramm historische Daten in Echtzeit zur Verfügung gestellt werden, ohne dass die Testzeit beeinträchtigt wird.“

Schuldenfrei stellte fest, dass die größten Herausforderungen der Branche mit ihrer stark disaggregierten Infrastruktur verbunden sind. „Dies stellt eine besondere Herausforderung dar, wenn die Wafersortierung und der Endtest in verschiedenen Einrichtungen stattfinden und eine sichere und zuverlässige Orchestrierung der Datenbewegung zwischen den Einrichtungen erforderlich ist.“

Teilen ist Kümmern
Die Bereitstellung der erforderlichen Daten dort, wo und wann sie benötigt werden, stellt heute eine enorme Hürde dar, die vor allem auf das Fabless-Foundry-Modell zurückzuführen ist. „Datensicherheit ist ein großes Anliegen bei adaptiven Tests, insbesondere bei disaggregierten Fertigungs- und Testabläufen, bei denen der Gerätebesitzer und der Fertigungspartner unterschiedliche Unternehmen sind“, sagte Ken Butler, Strategic Content Business Manager bei Vorteilhaftes Amerika.  „Wenn Daten und Anwendungen über Unternehmensgrenzen hinweg ausgetauscht werden müssen, ist die Sicherheit dieser Informationen von größter Bedeutung. Die ACS Real-Time Data Infrastructure (RTDI)-Lösung von Advantest verfügt über zahlreiche Funktionen, die sicherstellen, dass Daten sicher gemeinsam genutzt werden können, um adaptive Testabläufe und Echtzeit-Inferenzen zu ermöglichen, ohne proprietäre Daten unbefugten Stellen zugänglich zu machen.“


Abb. 1: Die Dateninfrastruktur für adaptive Tests in Echtzeit bei jeder Testeinfügung sichert die entwickelten Testdaten, das Testprogramm und die Datenanalyse von Drittanbietern. Quelle: Advantest

Der sichere Datenaustausch beginnt mit einer umfassenden Verschlüsselung. „Wir verwenden viele Verschlüsselungen, um Informationen zu übertragen, aber die Architektur des Systems selbst ist physikalisch sicher in dem Sinne, dass keine Tastatur an die Computerplattform angeschlossen ist, keine USB-Sticks erlaubt sind und es sich in einer verschlossenen Box befindet, um den Zugriff zu verhindern.“ “, sagte Butler. „Und am Ende des Testprozesses wird alles gelöscht, so dass die Daten verschwunden sind und keine Datenspeicher mehr vorhanden sind, die von hinten durchgefischt werden könnten.“

Wenn es jedoch um den Datenaustausch im Design-to-Manufacturing-Test oder sogar beim Testen von Felddaten geht, ist mehr Arbeit erforderlich, um die Daten für verschiedene Benutzer in einen Kontext zu bringen. „Die Datenverfügbarkeit ist wahrscheinlich immer noch ein Schlüsselfaktor, auf den wir uns einigen müssen“, sagte Eli Roth, Produktmanager für Smart Manufacturing bei Teradyne. Ingenieure benötigen den Kontext eines Wafers, um die Testkosten effizient zu senken. „Insbesondere der Kontext der Testdaten ist für vor- und nachgelagerte Personen manchmal nicht sinnvoll.“

Die Festlegung von Datenaustauschprotokollen ist ein Hauptziel des Smart AI Industry Advisory Council von SEMI. „Das ist die Herausforderung, der wir uns jetzt stellen“, sagte Roth. „Wie können wir diese Daten nicht nur verfügbar, sondern auch kontextbezogen machen?“

Teradyne hat in seine eigene Parallel-Computing-Plattform investiert, wobei der Schwerpunkt in jüngster Zeit auf Feedback und Feed-Forward bzw. bidirektionalem Daten-Streaming liegt. „Da wir wissen, dass adaptive Tests in Echtzeit kommen, konzentrieren wir uns darauf, sicherzustellen, dass die von einem Tester kommenden Daten echt sind, dass sie von niemandem manipuliert wurden und dass Sie keinen weiteren Teil ausführen müssen Es ist notwendig, auf dem Tester eine Menge Software zu installieren, um die Daten ordnungsgemäß zu extrahieren“, sagte Roth. „Wenn Sie Fabless betreiben, laufen Ihre Geräte auf derselben Prozesslinie wie die Ihres Konkurrenten. Wo liegt also Ihr Wettbewerbsvorteil? Es liegt in den Daten. Unsere Gedanken drehen sich also darum, die Daten in einem Standard zusammenzufassen. Das gilt für alle unsere Tester. Die Daten werden auf die gleiche Weise strukturiert und an die gewünschte Datenquelle weitergeleitet. Dann können Sie diese Daten über einen Standard in Ihr Datenmodell übersetzen, was effizienter ist, als zu versuchen, alle verschiedenen Lösungen für adaptive Tests nativ zu erstellen.“

Unternehmen verwenden den bestehenden A4 TEMS SEMI-Standard, eine Spezifikation für die automatische Ereignismeldung von Testgerätetestern für Halbleiter, die dieses Datenstreaming-Protokoll und die Struktur der Daten beschreibt. [1] Dieser Standard erstreckt sich jedoch nicht auf die Art und Weise, wie Daten gespeichert oder verpackt werden. Es verwendet ein Veröffentlichungs-Abonnement-Modell, um die verfügbaren Daten anzuzeigen, die Benutzer abonnieren können.

Beste Einfügungen für den adaptiven Test
Die meisten Branchenexperten sind sich einig, dass adaptive Tests bei mehreren Tests eingesetzt werden können und werden. In allen Fällen gilt: Je früher potenzielle Fehler erkannt werden, desto besser ist es für die Gerätequalität und die Produktionseffektivität.

„Wir haben Implementierungen für die Wafersortierung, den Endtest, das Einbrennen und den Test auf Systemebene“, sagte Schuldenfrei von NI. „Bei der Wafersortierung wird die Testzeitverkürzung oft genutzt, um die Testeffizienz zu steigern und die Kosten zu senken und gleichzeitig das Risiko von Testfehlern zu minimieren. Auch der abschließende (Paket-)Test bietet den gleichen Vorteil, es gibt jedoch noch überzeugendere Gründe, den adaptiven Test beim abschließenden Test zu verwenden. Bei der Wafersortierung kann die Nachbearbeitung durch statistische oder KI-basierte Algorithmen, die Geräte neu einteilen (z. B. Ausreißererkennung), offline durchgeführt werden, nachdem der Wafer den Test abgeschlossen hat. Diese aktualisierten Binning-Ergebnisse des Algorithmus können über die tintenlose (digitale) Waferkarte angewendet werden. Im Gegensatz dazu muss beim Abschlusstest die endgültige Binning-Entscheidung für das DUT getroffen werden, bevor es aus dem Sockel entfernt wird, was eine Entscheidungsfindung in Echtzeit erfordert.“

Bei den frühesten Versionen des adaptiven Testens ging es vor allem um die Reduzierung der Testzeit. Adaptives Testen ermöglicht eine Verkürzung der Testzeit, einschließlich weniger Burn-in-Stresstests. Um jedoch erhebliche Fortschritte von der einfachen Reduzierung der Testzeit bis hin zur Offline-ML-basierten Modellierung und groß angelegten Qualitätsverbesserungen zu erzielen, müssen sich das Ökosystem und die Datenaustauschpraktiken ändern.

„Die meisten unserer Kunden sprechen nicht mehr über DPPM oder gar DPPB“, sagte Schuldenfrei. „Jede Testflucht gilt als äußerst problematisch. Heutzutage gibt es mehrere gängige Anwendungen adaptiver Tests, darunter adaptive Testzeitreduktion (ATTR), adaptive Testerweiterung, adaptive Ausreißererkennung und verschiedene angrenzende Anwendungen wie Drifterkennung, die auf einem genauen und zeitnahen Datenaustausch zwischen dem Testprogramm und einem basieren Außendienst.“

Das häufigste Beispiel für die Anpassung adaptiver Testgrenzen ist Teilweise durchschnittliche Prüfung (PAT) und dynamische Teiledurchschnittsprüfung (DPAT). PAT ist ein statistisches Verfahren, das bis in die 1990er Jahre zurückreicht und 2011 vom Automotive Engineering Council (AEC) aktualisiert wurde. [1]  In diesem Prozess können Sie basierend auf den während des Tests erfassten Daten die Spezifikationsgrenzen für einen oder mehrere Tests anpassen (normalerweise verschärfen), basierend auf dem Verhalten der Teile in der getesteten Charge.  Diese Anpassung wird durchgeführt, um potenzielle Ausreißergeräte besser zu überprüfen, die technisch innerhalb der Betriebsspezifikationen liegen, bei denen jedoch das Risiko eines vorzeitigen Ausfalls in der Endanwendung besteht.

„Während PAT und DPAT der Branche seit Jahren gute Dienste leisten, gibt es mit den heutigen fortschrittlichen Rechenfunktionen und Analysen weitaus bessere Möglichkeiten, gefährdete Geräte zu identifizieren und gleichzeitig den mit dieser Form des Screenings verbundenen Ertragsverlust zu reduzieren“, sagte Advantest Diener.


Abb. 2: Die Ergebnisse des Iddq-Verbrauchstests korrelieren mit einer verbesserten Qualität im Vergleich zum dynamischen Teildurchschnittstest (oben). Der ML-basierte Algorithmus (unten) ist besser in der Lage, echte Ausreißer zu identifizieren und Geräte zu liefern. Quelle: Synopsys

Ein fortschrittlicher Mixed-Signal-Chip oder SoC könnte eine beliebige Anzahl von On-Chip-Sensoren oder Monitoren verwenden. Es ist gängige Praxis, überall auf dem Chip Sensoren einzubetten, die dazu dienen, die Leistung zu verändern und den Zustand des Chips zu überwachen, wie z. B. Ringoszillatoren, Temperatursensoren, Alterungssensoren und viele andere. ProteanTecs bietet On-Chip-Monitore, sogenannte Agents, zusätzlich zu cloudbasierter Software, um Monitordaten mit Datenanalysen zu korrelieren.

„Gemeinsame Sensor- und Messdaten umfassen VMin., Fmax, Iddq, Idd, Prozessringoszillatoren, IR-Tropfendetektoren, Jitter-Detektoren, Wärmesensoren – alle analogen Messungen in Analog-/Mixed-Signal-/RF-Designs“, sagte Carulli von GF. „Ein typischer Ausreißerfall auf Waferebene könnte bei V seinMin. Wird mit einem Nearest-Neighbor-Residual-Algorithmus verwendet. Ein typischer Fall auf Modulebene könnte die Verwendung eines bivariaten Modells für Iddq vs. F seinmax zur Erkennung von Ausreißerverhalten.

„Ein fortgeschrittenerer Fall von Advantest war die Verwendung ihres ACS-Systems zur Verbesserung eines digitalen Vorverzerrungstests“, sagte Carulli. „Schlüsseleingaben wurden zur Modellierung und Optimierung auf das angrenzende Serversystem verlagert, dann werden die optimierten Bedingungen für verbesserte Ergebnisse an den Tester zurückgesendet.“

Ein weiteres Beispiel ist die Anpassung von Testgrenzen zur Verbesserung der Gerätequalität. „Wenn Sie ein Halbleiterbauelement erstellen, werden Sie mehrere Prozessaufteilungen durchführen, bei denen der Ingenieur den Prozess absichtlich variiert, um die Leistung des Bauelements im schlechtesten und besten Fall zu ermitteln“, sagte Butler. „Ich werde meine Grenzen basierend auf der Prozessbreite festlegen, aber die Realität ist, dass die Fabrik versuchen wird, das Material so streng wie möglich zu kontrollieren. Sie müssen also Informationen sammeln, die Ihnen Aufschluss darüber geben, wie stark diese Spezifikationen verschärft werden müssen.“

Andere weisen auf ähnliche Entwicklungen hin. „Wir verwenden eine Variation des traditionellen D-PAT-Ansatzes, weil dieser nur auf der Ergebnispopulation basiert und kein wirkliches Verständnis dafür vermittelt, was im Inneren des Würfels vor sich geht“, sagte Guy Cortez Synopsys. Der Idd-Verbrauchsstrom (siehe Abbildung 2) zeigte eine Korrelation mit dem Ertrag, und der aus diesen Daten abgeleitete Algorithmus ermöglicht eine bessere Identifizierung echter Ausreißer, ohne dabei den Ertrag zu beeinträchtigen, was als Overkill bezeichnet wird.

Zusammenfassung
ATE-Anbieter bauen eine Infrastruktur auf, um den Einsatz von adaptiven Tests in Echtzeit zu unterstützen, integrieren fortschrittliche Methoden zur Erkennung von Ausreißern und verschärfen die Testgrenzen, um die Gerätequalität zu verbessern. On-Die-Sensoren beweisen, dass sie das Verhalten einzelner Chips erfassen können, und erweiterte Modellierung wird durch ML-basierte Algorithmen bereitgestellt. Die Logistik rund um das adaptive Testen hängt jedoch davon ab, dass die Branche Standardmethoden zur Verschlüsselung und Verarbeitung kritischer Daten entwickelt und gleichzeitig das geistige Eigentum von Chipherstellern und Fabless-Unternehmen schützt.

Bibliographie

  1. https://store-us.semi.org/products/a00400-semi-a4-specification-for-the-automated-test-equipment-tester-event-messaging-for-semiconductors-tems
  2. Richtlinie für Teildurchschnittstests, Automotive Engineering Council, 2011, AEC_Q001_Rev_D.pdf.
  3. Heterogene Integration Roadmap für Halbleiter, Ausgabe 2023, Kap. 17, Anhang A, Datenanalyse, https://eps.ieee.org/images/files/HIR_2023/ch17/Ch17-9-A.pdf

Weiterführende Literatur
ICs schneller, früher und besser testen
Warum Testzellen zum entscheidenden Informationszentrum der Fabrik werden könnten.

spot_img

Neueste Intelligenz

spot_img