Zephyrnet Logo

Evento Intel Direct Connect – Semiwiki

Data:

Na quarta-feira, 21 de fevereirost A Intel realizou seu primeiro evento Foundry Direct Connect. O evento teve sessões públicas e de NDA, e eu participei de ambas. Neste artigo vou resumir o que aprendi (que não é coberto pelo NDA) sobre os planos de negócios, processos e fábricas de wafer da Intel (meu foco é tecnologia de processo e fábricas de wafer).

O negócio

Pontos-chave do discurso principal da minha perspectiva.

  • A Intel vai organizar a empresa como Product Co (não tenho certeza se Product Co é o nome oficial) e Intel Foundry Services (IFS) com a Product Co interagindo com a IFS como um cliente regular de fundição. Todos os principais sistemas serão separados e protegidos por firewall para garantir que os dados dos clientes da fundição estejam seguros e não acessíveis pela Product Co.
  • O objetivo da Intel é que a IFS seja a segunda fundição do mundo até 2030. Houve muita discussão sobre a IFS ser a primeira fundição de sistemas, além de oferecer acesso aos processos de fabricação de wafer da Intel, a IFS oferecerá o empacotamento avançado da Intel, IP e experiência em arquitetura de sistema.
  • Foi interessante ver o CEO da Arm, Rene Haas, no palco com o CEO da Intel, Pat Gelsinger. A Arm foi descrita como o parceiro de negócios mais importante da Intel e observou-se que 80% das peças executadas na TSMC possuem núcleos Arm. Na minha opinião, isso mostra o quão seriamente a Intel está levando a fundição. No passado, era impensável para a Intel executar o Arm IP.
  • Aproximadamente 3 meses atrás, a IFS divulgou que tinha pedidos com um valor vitalício de US$ 10 bilhões de dólares, hoje esse valor cresceu para US$ 15 bilhões de dólares!
  • A Intel planeja divulgar dados financeiros atualizados há três anos, separando Product Co e IFS.
  • O CEO da Microsoft, Satya Nadella, apareceu remotamente para anunciar que a Microsoft está fazendo um design para o Intel 18A.

Processo tecnológico

  • Em uma sessão de NDA, Ann Kelleher apresentou a tecnologia de processo da Intel.
  • A Intel tem como meta cinco nós em quatro anos (em oposição aos cerca de 5 anos que levou para completar 10 nm). Os nós planejados foram i7, i4 o primeiro processo EUV da Intel, i3, 20A com RibbonFET (Gate All Around) e PowerVia (alimentação traseira) e 18A.
  • i7 e i4 estão em produção, com i4 sendo produzido em Oregon e na Irlanda, e i3 está pronto para fabricação. 20A e 18A estão a caminho de ficar prontos para produção este ano, veja a figura 1.

Figura 1

 Figura 1. Cinco nós em quatro anos.

Posso questionar se são realmente cinco nós, na minha opinião i7, i3 e 18A são meios nós seguindo i10, i4 e 20A, mas ainda é um desempenho muito impressionante e mostra que a Intel está de volta ao caminho certo para o desenvolvimento de processos. Ann Kelleher merece muito crédito por colocar o desenvolvimento dos processos da Intel de volta nos trilhos.

  • A Intel também está completando sua oferta para fundição, o i3 agora terá i3-T (TSV), i3-E (aprimorado) e i3-P (versões de desempenho).
  • Não posso discutir detalhes, mas a Intel mostrou dados de forte rendimento para i7 até 18A.
  • 20A e 18A devem estar prontos para fabricação este ano e serão os primeiros processos RibbonFET da Intel (Gate All Around Stacked Horizontal Nanosheets) e PowerVia (fornecimento de energia traseiro. PowerVia será o primeiro uso mundial de fornecimento de energia traseiro e com base no anúncio público que fiz visto da Samsung e TSMC, estará cerca de dois anos à frente de ambas as empresas. PowerVia deixa o roteamento de sinal na parte frontal do wafer e move o fornecimento de energia para a parte traseira, permitindo a otimização independente dos dois e reduz a queda de energia e melhora o roteamento e o desempenho.
  • O 18A parece estar gerando muito interesse e está progredindo bem com o lançamento do 0.9PDK e várias empresas gravaram dispositivos de teste. Haverá uma versão de desempenho 18A-P também. É minha opinião que 18A será o processo de maior desempenho disponível quando for lançado, embora a TSMC tenha processos de maior densidade de transistor.
  • Após 18A, a Intel irá para uma cadência de nós de dois anos com 14A, 10A e NEXT planejados. A Figura 2 ilustra o roteiro de processos da Intel.

Figura 2

Figura 2. Roteiro do Processo.

  • Completando ainda mais a oferta de fundição da Intel, eles estão desenvolvendo um processo de 12 nm com UMC e um processo de 65 nm com Tower.
  • A primeira ferramenta High NA EUV está em Oregon com pontos de prova esperados em 2025 e produção em 14A esperada em 2026.

Capacitação de Design

Gary Patton apresentou a capacitação de design da Intel em uma sessão de NDA. Gary é executivo de desenvolvimento de longa data da IBM e também foi CTO da Global Foundries antes de ingressar na Intel. No passado, os fluxos de design fora do padrão da Intel eram uma barreira significativa para o acesso aos processos da Intel. Partes principais da palestra de Gary:

  • A Intel está adotando práticas de design, lançamentos e nomenclatura PDK padrão da indústria.
  • Todas as principais plataformas de design serão apoiadas, Synopsys, Siemens, Cadence, Ansys e representantes de todas as quatro apresentadas nas sessões.
  • Todas as principais propriedades intelectuais básicas estão disponíveis na oferta de fundição da Intel.
  • Na minha opinião, este é um grande avanço para a Intel; na verdade, eles discutiram a rapidez com que tem sido possível portar vários elementos de design para seus processos agora.
  • A disponibilidade de IP e a facilidade de projeto de uma fundição são essenciais para o sucesso e a Intel parece ter preenchido esta caixa crítica pela primeira vez.

Embalagens

Choon Lee apresentou a embalagem e ele é outro estranho trazido para a Intel, acredito que ele disse que estava lá há apenas 3 meses. Outro analista comentou que foi revigorante ver a Intel colocar pessoas trazidas de fora para posições-chave, em vez de todas as pessoas-chave serem funcionários de longa data da Intel. A embalagem não é realmente meu foco, mas algumas notas que considero fundamentais:

  • A Intel está oferecendo seus pacotes avançados aos clientes e se refere a eles como ASAT (Advanced System Assembly and Test) em oposição a OSAT (Outsourced Assembly and Test).
  • A Intel montará vários produtos de matrizes com matrizes provenientes da IFS e de outras fundições.
  • A Intel tem uma capacidade única para testar matrizes singulares que permite um controle de temperatura muito mais rápido e melhor.
  • A Figura 3 resume as capacidades de fundição e empacotamento da Intel.

Figura 3

Figura 3. Fundição e embalagem da Intel.

Fabricação Intel

Também sob NDA, Keyvan Esfarjani apresentou a fabricação da Intel. Os principais pontos divulgáveis ​​são:

  • A Intel é a única fundição geograficamente diversificada com fábricas em Oregon, Arizona, Novo México, Irlanda e Israel e fábricas planejadas em Ohio e na Alemanha. A Intel constrói infraestruturas em torno das fábricas em cada local.
  • O modelo de fundição IFS permitirá à Intel acelerar os processos e mantê-los em produção, em vez de aumentar os processos e depois reduzi-los vários anos depois, como faziam anteriormente como IDM.
  • Locais de fábrica da Intel:
    • A Fab 28 em Israel está produzindo i10/i7 e a Fab 38 está planejada para esse local.
    • Fab 22/32/42 no Arizona estão executando i10/i7 com fabs 52/62 planejados para aquele local em meados de 2025 para executar 18A.
    • Fab 24 na Irlanda está rodando 14nm com fundição i16 planejada, Fab 34/44 também naquele local está rodando i4 agora e aumentando i3. Eles eventualmente executarão a fundição i3.
    • Fab 9/11x no Novo México está executando embalagem avançada e adicionará 65 nm com Tower em 2025.
  • Expansões planejadas em Ohio e Alemanha.
  • Oregon não foi discutido em detalhes, provavelmente porque é um local de desenvolvimento, embora faça a fabricação inicial. Oregon tem Fabs D1C, D1D e 3 fases do D1X em execução com reconstruções do D1A e 4 adicionaisth fase do D1X sendo planejada.

Conclusão

No geral, o evento foi muito bem executado e os anúncios foram impressionantes. A Intel está com o desenvolvimento de sua tecnologia de processo de volta aos trilhos e está levando a fundição a sério e fazendo as coisas certas para ter sucesso. A TSMC está segura como a fundição número um do mundo no futuro próximo, mas dados os problemas recorrentes de rendimento da Samsung, acredito que a Intel está bem posicionada para desafiar a Samsung pela posição número dois.

Leia também:

ISS 2024 – Lógica 2034 – Tecnologia, Economia e Sustentabilidade

A Intel deveria ser o Plano A do Mundo Livre, não o Plano B, e precisamos que o governo dos EUA intervenha

Quão perturbadores serão os Chiplets para Intel e TSMC?

Compartilhe esta postagem via:

local_img

Inteligência mais recente

local_img