Zephyrnet-logo

Chiplets doen mee aan de supercomputerrace

Datum:

Verschillende entiteiten uit verschillende landen racen tegen elkaar om op chiplet gebaseerde exascale-supercomputers te leveren en in te zetten, een nieuwe klasse van systemen die 1,000x sneller zijn dan de huidige supercomputers.

De nieuwste exascale supercomputer CPU- en GPU-ontwerpen combineren complexe matrijzen in geavanceerde pakketten, waardoor een nieuw niveau van flexibiliteit en maatwerk voor supercomputers wordt toegevoegd. Jarenlang strijden verschillende landen om de leidende positie op dit gebied, met voordelen die veel verder gaan dan alleen supercomputers. Deze grote en dure systemen maken de weg vrij voor enorme doorbraken in AI, biologie, defensie, energie en wetenschap.

De supercomputers van vandaag, evenals de nieuwe exaschaalsystemen, zijn gebaseerd op de principes van conventioneel computergebruik, dat totaal anders is dan kwantumcomputers. Bij conventioneel computergebruik wordt de informatie opgeslagen in bits, die een nul of een kunnen zijn. Bij kwantumcomputing wordt de informatie opgeslagen in kwantumbits, of qubits, die kunnen bestaan ​​als een nul, een één of een combinatie van beide. Door de superpositietoestand kan een kwantumcomputer beter presteren dan traditionele systemen, maar kwantumsystemen zijn nog jaren verwijderd van praktisch zijn.

State-of-the-art conventionele supercomputers kunnen meer dan 1 quadriljoen (1015) drijvende-kommabewerkingen per seconde (petaFLOPS of Pflop/s). Tegenwoordig is de Fugaku, een supercomputer gebouwd door Riken en Fujitsu, 's werelds snelste systeem, met een high-performance Linpack (HPL) benchmarkscore van 442 Pflop/s. De HPL-score weerspiegelt de prestatie van een systeem bij het oplossen van bepaalde lineaire vergelijkingen. Het geeft niet de algehele prestaties van een systeem weer.

Exascale snelheid
Ondertussen hebben verschillende entiteiten uit China, Europa, Japan en de Verenigde Staten supercomputers van de exaschaalklasse ontwikkeld, die een triljoen berekeningen uitvoeren (1018) of meer per seconde (exaFLOPS of Eflop/s).

Onlangs beweerden twee supercomputers in China de Eflop/s-barrière te hebben doorbroken, hoewel die resultaten nog steeds niet onderbouwd zijn. En later dit jaar zal de VS naar verwachting hun eerste exascale supercomputer in gebruik nemen, een 1.5 Eflop/s of sneller systeem genaamd Frontier. Frontier is gebaseerd op AMD's serverprocessors en GPU-versnellers en bevindt zich in het Oak Ridge National Laboratory.

De VS ontwikkelen ook twee andere exascale-supercomputers, waaronder Aurora, die wordt gebouwd in het Argonne National Laboratory. Aurora is gebouwd rond Intel's serverprocessors en GPU's.

Vanuit architectonisch oogpunt zijn alle supercomputers vergelijkbaar. Deze systemen bestaan ​​uit een groot aantal racks, die elk uit vele rekenknooppunten bestaan. Elk rekenknooppunt heeft verschillende CPU's en GPU's. Traditioneel waren veel van deze chips grote en complexe system-on-a-chip (SoC)-apparaten, waarbij alle functies op een monolithische matrijs zijn opgenomen.

Dat begint te veranderen. Sommige, maar niet alle, exascale-supercomputers gebruiken een chiplet-benadering, met name de in de VS gebaseerde systemen. In plaats van een SoC bevatten de CPU's en GPU's in deze systemen kleinere matrijzen of tegels, die vervolgens worden gefabriceerd en opnieuw samengevoegd tot geavanceerde pakketten. Simpel gezegd, het is relatief gemakkelijker om kleinere matrijzen te fabriceren met hogere opbrengsten dan grote SoC's.

Het idee om meerdere dies in een pakket op te nemen is niet nieuw, vooral niet bij high-performance computing (HPC). “Het idee om meerdere chips in één verpakking te doen, bestaat al heel lang. IBM gebruikte begin jaren tachtig een multi-chipdrager om hun mainframes te bouwen”, zegt Bob Sorensen, senior vice-president onderzoek bij Hyperion Research. “Dus in theorie zijn chiplets slechts de meest recente incarnatie van meerdere matrijzen in een enkele verpakking. Maar met chiplets kan een HPC-ontwerper de processor bouwen met de exacte reken-, geheugen- en I/O-mogelijkheden die het beste passen bij de verwachte werklast van een HPC.”

Er zijn verschillende wijzigingen en aankondigingen in deze markt. Onder hen:

  • China zet exascale-supercomputers in.
  • De VS maakt zijn eerste exaschaalsystemen gereed.
  • AMD en Intel hebben details bekendgemaakt over hun chips voor het exascale-tijdperk.
  • De industrie heeft een nieuwe standaard uitgebracht om chiplets in een pakket te verbinden.

Fig. 1: Gepland voor implementatie later dit jaar, streeft de Frontier exascale supercomputer naar prestaties van 1.5 Eflops/s. Bron: Oak Ridge National Laboratory

Fig. 1: Gepland voor implementatie later dit jaar, streeft de Frontier exascale supercomputer naar prestaties van 1.5 Eflops/s. Bron: Oak Ridge National Laboratory

Supercomputerrace
In totaal zal de supercomputermarkt volgens Hyperion Research naar verwachting groeien van $ 6.6 miljard in 2021 tot $ 7.8 miljard in 2022. Hyperion verdeelt de markt voor supercomputers in drie segmenten: leiderschap/exaschaal, groot ($ 3 miljoen en hoger elk) en instapniveau ($ 500,000 tot $ 3 miljoen). Elk exascale-systeem verkoopt voor ongeveer $ 600 miljoen.

Supercomputers worden al jaren voor tal van toepassingen gebruikt. "Supercomputing is voor veel dingen nodig, waaronder enorme simulatietaken zoals weersvoorspellingen, enorme rekenkundige computertaken zoals cryptocurrency-mining, enorme beeldverwerkingstaken zoals satellietbeeldverwerking en massale neurale netwerkcomputing voor deep learning-training", zegt Aki Fujimura, CEO van D2S. "Het wordt veel gebruikt in de productie van halfgeleiders voor problemen zoals inverse lithografietechnologieën, maskerprocescorrectie, simulatiegebaseerde verificatie van maskers en wafels, en masker- en wafelinspectie."

Gezien als een tijdlijn heeft het computerveld enorme vooruitgang geboekt. In 1945 ontwikkelde de Universiteit van Pennsylvania ENIAC, de eerste elektronische digitale computer voor algemeen gebruik. Met behulp van vacuümbuizen om de gegevens te verwerken, voerde ENIAC 5,000 toevoegingen per seconde uit.

Vanaf de jaren vijftig vervingen transistors vacuümbuizen in veel systemen, waardoor snellere computers mogelijk werden. Transistors, de belangrijkste bouwstenen in chips, dienen als schakelaar in apparaten.

In 1964 introduceerde het inmiddels ter ziele gegane Control Data de CDC 6600, 's werelds eerste supercomputer. De 6600 bevatte een 60-bits processor met behulp van transistors met 2 MIPS-prestaties. Sindsdien zijn supercomputers veel krachtiger geworden. Tegelijkertijd blijven verschillende landen over elkaar heen springen voor de leidende positie op het gebied van prestaties.

In 2008 was IBM's Roadrunner bijvoorbeeld 's werelds snelste supercomputer met een prestatie van 1.026 Pflop/s. Het werd de eerste supercomputer die deze mijlpaal bereikte. Vervolgens sprong China in 2010 naar de leidende positie met de Tianhe-1A, een supercomputer met een prestatieniveau van 2.57 Pflop/s.

Sinds 2020 bekleedt het Japanse Fugaku de nummer 1 positie in supercomputing. IBM's Summit staat op nummer 2 en is de snelste supercomputer in de VS

Het Fugaku-systeem bestaat uit 158,976 rekenknooppunten voor in totaal 7,630,848 Arm-processorcores. “Elk knooppunt is uitgerust met een processor genaamd de A64FX, die bestaat uit 48-core processorcores voor algemeen gebruik en vier assistent-cores. A64FX is gefabriceerd met een 7nm-proces”, zei Shuji Yamamura, een onderzoeker bij Fujitsu/Riken, in een paper tijdens het recente ISSCC-evenement.

Fugaku maakt gebruik van een op maat gemaakte ARM-processor. Het is geen chiplet-architectuur. Daarentegen gebruiken Chinese supercomputers meestal aangepaste processors. Veel niet-exascale supercomputers gebruiken handelschips.

"Voor de meer mainstream HPC-sector zijn hardwarebeslissingen voornamelijk gebaseerd op de beschikbaarheid van meer mainstream massacomponenten", aldus Sorensen van Hyperion. "Dit kunnen Intel CPU's, Nvidia GPU's en InfiniBand-interconnects zijn. Ze kunnen zo zijn geconfigureerd dat ze het meest geschikt zijn voor de HPC-workloadomgeving of hebben agressieve verpakkings- en koelingsmogelijkheden om de stroomproblemen op te lossen.”

Zowel CPU's als GPU's spelen een sleutelrol in HPC. "Voor sequentiële gegevensverwerkingstypes zijn CPU's meestal kosteneffectiever dan GPU's. Maar voor taken die veel berekenen voor een bepaalde gegevenseenheid, kunnen GPU's veel efficiënter zijn, vooral als een computertaak kan worden omgezet in een SIMD-probleem (Single-Instructie Multiple Data). Dit is waar veel van de gegevens parallel worden verwerkt en in dezelfde instructies op verschillende gegevens worden uitgevoerd”, aldus Fujimura van D2S.

Exascale-tijdperk
In de toekomst betreedt supercomputing het exascale-tijdperk, dat nieuwe doorbraken belooft op het gebied van biologie, defensie, wetenschap en andere gebieden.

Exascale-systemen zijn duur om te ontwikkelen. "In het exascale-bereik kan een HPC van meer dan $ 500 miljoen meer dan 20% van zijn totale budget besteden aan de ontwikkeling van speciale functies zoals aangepaste chips, interconnects en andere componenten om te voldoen aan een bepaalde gerichte werkbelasting", zei Sorensen van Hyperion.

Verschillende entiteiten ontwikkelen exaschaal-supercomputers. China lijkt een smalle voorsprong te hebben, op de voet gevolgd door de Verenigde Staten. Europa loopt achter het peloton. Eerder dit jaar lanceerde de European High Performance Computing Joint Undertaking (EuroHPC) verschillende nieuwe projecten, waaronder een exaschaalprogramma. Het is onduidelijk wanneer de EU een systeem zal lanceren.

China heeft volgens Hyperion Research drie exascale-supercomputers in de maak, Sunway Oceanlite, Tianhe-3 en Sugon. Sunway Oceanlite, geïnstalleerd in het National Supercomputer Center in Wuxi, werd in 2021 voltooid. Vorig jaar beweerden onderzoekers dat ze een piekprestatie van 1.3 Eflop/s hadden bereikt. Dit systeem is gebaseerd op een intern ontworpen SW39010 CPU. In totaal bestaat het systeem volgens Hyperion uit meer dan 38 miljoen CPU-cores.

De Tianhe-3, die eind vorig jaar werd voltooid, heeft een prestatie van 1.7 Eflop/s laten zien. Ondertussen heeft het Sugon-systeem vertraging opgelopen. Geen van de prestatieresultaten uit China is bevestigd.

Terwijl China de neiging heeft om traditionele aangepaste processors te gebruiken, kiezen de in de VS gevestigde exascale-systemen voor een andere benadering. De CPU's en GPU's maken gebruik van chiplets, waarbij je matrijzen mixt en matcht en ze in pakketten assembleert.

Tot op heden hebben AMD, Intel, Marvell en anderen zich ontwikkeld chipje-gebaseerde ontwerpen, voornamelijk voor server- en andere hoogwaardige toepassingen. Het concept is ook ideaal voor supercomputing.

"Chiplets zullen worden geïmplementeerd in verschillende toepassingen die profiteren van hun kenmerken, waaronder een aanzienlijke vermindering van het formaat, een lager stroomverbruik en betere prestaties bij hoge snelheden", zegt Richard Otte, president en CEO van Promex, het moederbedrijf van QP-technologieën. "De DoD en DARPA werken er bijvoorbeeld aan om de snelste supercomputers in hun labs te krijgen, en chiplets zullen dit mogelijk maken."

Tegenwoordig hebben de VS drie exaschaalsystemen in de maak: Aurora, El Capitan en Frontier. Frontier zal naar verwachting eind 2022 operationeel zijn, gevolgd door Aurora en El Capitan in 2023.

In 2019 heeft het Amerikaanse ministerie van Energie (DOE) Cray het contract toegekend om de Frontier exascale supercomputer te bouwen in Oak Ridge National Labs. In 2019 werd Cray overgenomen door Hewlett Packard Enterprise (HPE).

HPE heeft het platform voor Frontier gebouwd, dat een groot aantal rekenknooppunten ondersteunt. Elk rekenknooppunt ondersteunt een van AMD's server-CPU's en vier AMD GPU-versnellers.

Gebaseerd op een 6nm-proces van TSMC, bevat AMD's nieuwe GPU-versneller twee dies, die in totaal uit 58 miljard transistors bestaan. De architectuur overtreft 380 teraflops aan topprestaties.

De GPU-architectuur is verwerkt in een 2.5D pakket met een twist. In de meeste 2.5D/3D-pakketten worden matrijzen gestapeld of naast elkaar geplaatst bovenop een interposer, waarin door-silicium via's (TSV's). De TSV's zorgen voor een elektrische verbinding van de matrijzen naar het bord.

“TSV's zijn de ondersteunende technologie van 3D-IC's, [voorzien] van elektrische verbindingen tussen de gestapelde chips. Het belangrijkste voordeel van de 3D-IC-technologie met TSV's is dat het een veel kortere onderlinge verbinding tussen verschillende componenten biedt, wat resulteert in een lagere resistieve-capacitieve vertraging en een kleinere voetafdruk van het apparaat", zegt Luke Hu, een onderzoeker bij UMC, in een recente krant.

Fig. 2: Verschillende opties voor hoogwaardige rekenverpakkingen, interposer-gebaseerde 2.5D vs. Fan-Out Chip on Substrate (FOCoS). Bron: ASE

Fig. 2: Verschillende opties voor hoogwaardige rekenverpakkingen, interposer-gebaseerde 2.5D vs. Fan-Out Chip on Substrate (FOCoS). Bron: ASE

In 2.5D/3D-pakketten is de tussenvoegsel werkt, maar er is verspilde ruimte op de structuur. Daarom hebben verschillende bedrijven een alternatieve aanpak ontwikkeld, genaamd a silicium brug. Een bridge is een klein stukje silicium met routeringslagen, dat de ene chip in een pakket met de andere verbindt. In een voorbeeld heeft Intel de Embedded Multi-die Interconnect Bridge (EMIB) ontwikkeld, een siliciumbrug die doorgaans in het substraat is ingebed.

Ondertussen stapelt het bedrijf in AMD's GPU een GPU en geheugen met hoge bandbreedte (HBM) naast elkaar op een siliciumbrug. HBM is in feite een DRAM-geheugenstack.

In tegenstelling tot EMIB, dat is ingebed in het substraat, plaatst AMD de brug bovenop het substraat. AMD noemt dit een 2.5D Elevated Fanout Bridge (EFB).

Fig. 3: Op substraat gebaseerde bridge versus AMD's 2.5D Elevated Fanout Bridge (EFB) Bron: AMD

Fig. 3: Op substraat gebaseerde bridge versus AMD's 2.5D Elevated Fanout Bridge (EFB) Bron: AMD

Andere exascale-supercomputers zijn in de maak. Niet zo lang geleden kondigden Lawrence Livermore National Laboratory, HPE en AMD El Capitan aan, een exascale-systeem dat naar verwachting 2 Eflop/s zal overschrijden. Dit systeem is gebaseerd op AMD's chiplet-gebaseerde CPU's en GPU's.

Ondertussen kondigden de DOE, Intel en HPE in 2019 plannen aan om Aurora te bouwen, een ≥2 Eflop/s-systeem. Oorspronkelijk werd verwacht dat Aurora in 2021 aan Argonne zou worden geleverd, maar dat werd uitgesteld vanwege chipvertragingen bij Intel.

Aurora is gebaseerd op het supercomputerplatform van HPE, met meer dan 9,000 rekenknooppunten. Elk knooppunt bestaat uit twee van Intel's Sapphire Rapids-processors, zes van Intel's GPU-versnellers (codenaam Ponte Vecchio) en een uniforme geheugenarchitectuur. Het bestaat uit 10 petabyte (PB) geheugen en 230 PB opslag.

Sapphire Rapids is een Xeon-processor van de volgende generatie, die 4 kleinere CPU-chips in een pakket bevat. Gebaseerd op Intel's 7nm finFET-proces, zijn de dies verbonden via EMIB.

De processor bestaat uit meer dan 100 MB gedeelde L3-cache, 8 DDR5-kanalen en 32GT/s PCIe/CXL-lanes. "Nieuwe technologieën zijn onder meer Intel Advanced Matrix Extensions (AMX), een matrixvermenigvuldigingsmogelijkheid voor versnelling van AI-workloads en nieuwe virtualisatietechnologieën om nieuwe en opkomende workloads aan te pakken", zei Nevine Nassif, een hoofdingenieur bij Intel, in een presentatie op de recente ISSCC evenement.

In Aurora werkt de CPU met Ponte Vecchio, een GPU gebaseerd op Intel's Xe-HPC-microarchitectuur. Dit complexe apparaat bevat 47 tegels op vijf procesknooppunten in een pakket. In totaal bestaat het apparaat uit meer dan 100 miljard transistors.

In principe stapelt Ponte Vecchio twee basisstempels op een substraat. Op elke basismatrijs stapelt Intel een geheugenstructuur, gevolgd door reken- en SRAM-tegels. Het toestel heeft ook acht HBM2E-tegels. Om de dies met elkaar te laten communiceren, gebruikt Intel een eigen die-to-die-link.

Gebaseerd op Intel's 7nm-proces, bieden de twee basischips een communicatienetwerk voor de GPU. De matrijzen omvatten geheugencontrollers, spanningsregelaars, energiebeheer en 16 PCIe Gen5/CXL-hostinterfacelijnen.

Op elke basisdobbelsteen stapelt Intel 8 rekentegels en 4 SRAM-tegels. De rekentegels zijn gebaseerd op het 5nm-proces van TSMC, terwijl de SRAM is gebouwd rond Intels 7nm-technologie.

In totaal bevat het apparaat 16 rekentegels en 8 SRAM-tegels. Elke computertegel heeft 8 kernen. "Elke kern bevat 8 vector-engines, die 512-bits floating-point/integer-operanden verwerken, en 8 matrix-engines met een 8-diepe systolische array die 4096-bits vectorbewerkingen uitvoert", zegt Wilfred Gomes, een Intel-collega, in een paper op ISSCC.

Voor vermogensafgifte implementeert Intel zogenaamde volledig geïntegreerde spanningsregelaars (FIVR's) op de basischips. "FIVR op de basismatrijs levert tot 300W per basismatrijs in een 0.7V-voeding", zei Gomes. "3D-gestapelde FIVR's maken fijnkorrelige controle met hoge bandbreedte mogelijk over meerdere spanningsdomeinen en verminderen de ingangsstroom."

Thermal management vormt een grote uitdaging bij geavanceerde verpakkingen. Om dit probleem aan te pakken, plaatst Intel een warmteverspreider op de GPU. Vervolgens wordt een thermisch interfacemateriaal (TIM) op de bovenste matrijzen aangebracht.

"De TIM elimineert luchtspleten die worden veroorzaakt door verschillende matrijsstapelhoogten om de thermische weerstand te verminderen. Naast de 47 functionele tegels zijn er 16 extra thermische schildmatrijzen gestapeld om een ​​thermische oplossing te bieden over het blootgestelde basismatrijsgebied om warmte te geleiden, "zei Gomes.

Hoe chiplets te ontwikkelen
Supercomputing is slechts een van de vele toepassingen voor chiplets. Onlangs hebben verschillende leveranciers chiplet-achtige ontwerpen voor servers ontwikkeld. Toekomstige chiplet-architecturen zijn in de maak.

Het ontwikkelen van een chiplet-achtig ontwerp is aantrekkelijk, maar er zijn verschillende uitdagingen. Er zijn middelen en verschillende elementen nodig om chiplets te ontwikkelen.

Zoals gezegd, in chiplets ontwerp je in plaats van een grote SoC, een chip met kleinere dies vanaf de basis. Vervolgens maak je de matrijzen en monteer je ze opnieuw tot een pakket. Hieraan zijn verschillende ontwerpoverwegingen verbonden.

"In zekere zin vereist dit soort geavanceerd pakket of geavanceerd product verbindingen met een hoge dichtheid", zegt Choon Lee, chief technology officer van JCET. “Dus in die context is de verpakking zelf niet langer een enkele matrijs in een verpakking met inkapseling. In meer geavanceerde verpakkingen moet je nadenken over de lay-out, de interacties met de chip en de verpakking, en hoe je deze lagen moet routeren. De vraag is hoe je de lay-out echt optimaliseert om de optimale performance of maximale performance in het pakket te krijgen.”

Dat is niet het enige probleem. In de verpakking zijn enkele matrijzen gestapeld. Andere matrijzen bevinden zich elders in de verpakking. Je hebt dus een manier nodig om de ene die met de andere te verbinden met behulp van die-to-die-interconnecties.

De huidige chiplet-achtige ontwerpen verbinden de matrijzen met behulp van eigen bussen en interfaces, wat de acceptatie van de technologie beperkt. Verschillende organisaties hebben gewerkt aan open bussen en interfacestandaarden.

In de laatste poging hebben ASE, AMD, Arm, Google, Intel, Meta, Microsoft, Qualcomm, Samsung en TSMC onlangs een consortium gevormd dat een chiplet-enabled die-to-die interconnect-standaard tot stand brengt. De groep heeft ook de UCIe-specificatie geratificeerd, een open industrie-interconnectiestandaard op pakketniveau. De UCIe 1.0-specificatie omvat de die-to-die I/O fysieke laag, die-to-die-protocollen en softwarestack.

"Het tijdperk van chiplets is echt aangebroken, waardoor de industrie evolueert van siliciumgericht denken naar planning op systeemniveau, en de cruciale focus ligt op co-ontwerp van de IC en het pakket", zegt Lihong Cao, directeur engineering en technisch marketing bij ASE. "We zijn ervan overtuigd dat UCIe een cruciale rol zal spelen bij het mogelijk maken van ecosysteemefficiëntie door de ontwikkelingstijd en -kosten te verlagen door middel van open standaarden voor interfaces tussen verschillende IP's binnen een ecosysteem van meerdere leveranciers, evenals het gebruik van geavanceerde interconnectie op pakketniveau."

Dat lost niet alle problemen op. In alle pakketten is het thermische budget een grote zorg. "Stroomdissipatie en stroomverbruik zijn grote uitdagingen", zegt Michael Kelly, vice-president van geavanceerde verpakkingsontwikkeling en -integratie bij Amkor. “Het slaat aan in de verpakkingsindustrie vanwege de integratie op verpakkingsniveau. Helaas genereert silicium veel verloren warmte. Het is niet thermisch efficiënt. Je moet de warmte ergens kwijt. We moeten dat zo thermisch efficiënt mogelijk maken voor degene die de thermische dissipatie in het eindproduct doet, of dat nu in een telefoonhoesje is of een waterkoeler in het datacenter. Hoeveel werkelijke elektrische stroom we moeten leveren in een krachtig pakket, wordt ook interessant. De stroom gaat niet omlaag, maar de spanningen glijden naar beneden. Om hetzelfde totale vermogen of meer vermogen te leveren, gaan onze stromen omhoog. Zaken als elektromigratie moeten worden aangepakt. We hebben waarschijnlijk meer spanningsconversie en spanningsregeling nodig in het pakket. Op die manier kunnen we hogere spanningen in het pakket brengen en ze vervolgens scheiden in lagere spanningen. Dat betekent dat we niet zoveel totale stroom in het pakket hoeven te slepen. Dus macht raakt ons op twee manieren. Het is warmte, maar het beheert dat stroomvoorzieningsnetwerk ook elektrisch. Dat dwingt meer inhoud in het pakket, terwijl je ook je best doet op het gebied van thermische vermogensdissipatie."

Conclusie
Het is duidelijk dat chiplets een ondersteunende technologie vormen en dat ze hun weg vinden naar serverontwerpen. Onlangs introduceerde Apple een Mac-desktop met een chiplet-achtig processorontwerp. Nu zijn er op chiplet gebaseerde exascale-supercomputers op het toneel.

Voor exascale supercomputers worden chiplet-gebaseerde benaderingen gebruikt voor Frontier-, El Capitan- en Aurora-systemen. Anderen, zoals de Fugaku en de Sunway Oceanlite, blijven de traditionele SoC-gebaseerde benadering volgen. Beide methoden werken. Laat de race beginnen.

Verwante Verhalen
De grote race voor kwantumcomputers
Bedrijven en landen pompen tientallen miljarden dollars in verschillende qubit-technologieën, maar het is nog te vroeg om een ​​winnaar te voorspellen.

Race van nieuwe generatie 3D-chip/verpakking begint
Hybride bonding opent een geheel nieuw prestatieniveau in verpakkingen, maar het is niet de enige verbetering.

Chiplets aan elkaar plakken
Veranderingen die deze verpakkingsaanpak mainstream kunnen maken, en de uitdagingen die voor ons liggen.

De volgende golf van Advanced Packaging
Een lange lijst met opties stuwt multi-chippakketten naar de voorhoede van het ontwerp, terwijl een duizelingwekkend aantal opties en afwegingen worden gecreëerd

De post Chiplets doen mee aan de supercomputerrace verscheen eerst op Semiconductor Engineering.

spot_img

Laatste intelligentie

spot_img