Logo Zéphyrnet

EDA de retour sur le radar des investisseurs

Date :

L'EDA est en train de passer d'un secteur stable mais stratégique à un marché d'investissement en plein essor, alimenté par des bénéfices et une croissance solides, une demande de conceptions de pointe et de plus en plus personnalisées sur les marchés nouveaux et existants, et le déploiement de technologies avancées telles que l'IA pour une gamme de produits. d'outils qui seront nécessaires pour développer de nouvelles architectures avec des performances par watt bien supérieures.

Une confluence d'événements a abouti à l'une des meilleures années de tous les temps pour le major EDA joueurs, non seulement pour leurs performances au cours de l'année écoulée en termes de ventes, de bénéfices ou de cours des actions, mais également pour leurs performances futures. Comme l'a récemment rapporté l'Electronic System Design (ESD) Alliance de SEMI, les revenus du secteur ont augmenté de 25.2 % pour atteindre 4,702.4 2023 millions de dollars au troisième trimestre 3,756.3, contre 2022 13.8 millions de dollars enregistrés au troisième trimestre XNUMX. La moyenne mobile sur quatre trimestres, qui compare au cours des quatre trimestres les plus récents par rapport aux quatre précédents, a augmenté de XNUMX %. Presque tous les segments et régions ont connu une croissance significative, et la faiblesse du début de l’année a connu un rebond rapide.

Même cette douceur était contenue pour les grands acteurs de l'EDA. Le ralentissement a été plus fortement ressenti par les petites entreprises. « Début 2023, nous avons connu une correction économique », explique Prakash Narain, président-directeur général de Real Intent. « Les choses ont ralenti, mais ont davantage affecté les startups que certaines grandes entreprises. Puis ça a repris. Nous constatons désormais une activité beaucoup plus importante dans les sociétés de systèmes. C’est en fait très excitant car ils ont besoin de silicium personnalisé. Cela signifie que davantage de travaux de conception sont effectués, et c'est une excellente nouvelle pour le secteur de l'EDA. Ce fut une bonne année dans l’ensemble dans le sens où la baisse a été compensée par la reprise vers la fin de l’année.

Cette transformation est motivée par plusieurs facteurs. La croissance massive de ChatGPT, qui n'a commencé que l'année dernière, nécessite une augmentation significative de la puissance de calcul totale disponible, ainsi que des moteurs diversifiés pour satisfaire ce besoin. Les processeurs sont remplacés par une informatique hétérogène, et nous voyons le cloud devenir un mélange de processeurs, de GPU, de processeurs d'IA, d'accélérateurs personnalisés, de FPGA, etc. Beaucoup de ces nouveaux processeurs sont développés soit par des startups, soit par des hyperscalers, qui sont essentiels pour améliorer l’efficacité des différentes classes de calculs.

Beaucoup de ces processeurs personnalisés dépassent la limite du réticule, obligeant les fabricants de puces à décomposer différentes fonctionnalités à l'aide d'un type de packaging avancé, tel que les circuits intégrés 2.5D, 3D et des sortances denses avec des piliers. Cela nécessite des outils EDA plus avancés, y compris de nouveaux outils, ainsi que l'utilisation de technologies issues d'autres domaines. Certains abordent même des problèmes jamais rencontrés auparavant dans la conception de puces. La multi-physique est un terme qui revient désormais partout.

Un troisième moteur consiste à accélérer les synergies entre l’EDA et l’espace des systèmes. Siemens a été le premier à tirer parti de cette opportunité, et d'autres ont suivi. « Synopsys veut commencer à réfléchir aux choses au niveau du système et veut impliquer d'autres disciplines dans la mêlée », déclare Chris Mueth, directeur de la gestion des nouveaux marchés pour Keysight. « La complexité augmente de façon exponentielle, mais pas la main-d'œuvre. Cela laisse un vide. Alors, comment gérer un problème dont la complexité augmente de façon exponentielle lorsque vous n'êtes pas en mesure de faire évoluer vos effectifs ? Vous devez automatiser les flux de travail. Tu n'as pas le choix. Vous devez abattre les murs et tout connecter et fonctionner ensemble. Et il ne s’agira pas non plus d’un seul fournisseur. Il est impossible qu’un seul fournisseur puisse gérer toutes ces différentes choses, pour diverses raisons. Il s’agit d’un jeu d’interopérabilité qui implique l’ensemble de l’écosystème.

EDA et RISC-V
Une autre transformation est l’adoption et l’acceptation rapides de RISC-V. À mesure que l’écosystème se développe et mûrit, un nombre croissant d’entreprises se lancent dans le développement de leurs propres processeurs personnalisés et ont désespérément besoin d’outils. Ces types d'outils existaient dans le passé, mais ont diminué lorsque Arm est devenu le fournisseur dominant sur le marché IP des CPU. Même si des outils de développement de processeurs extensibles continuaient d'exister au sein de Cadence (Tensilica) et Synopsys (ARC), leur portée était assez limitée. Cela s’étend désormais.

« La bourse RISC-V a connu une croissance de plus de 40 % depuis le début de 2023, et nous prévoyons de voir de nombreux produits RISC-V nouveaux et innovants arriver sur le marché en 2024 », déclare Calista Redmond, PDG de RISC-V International. « J'ai été vraiment impressionné par les progrès techniques significatifs de la communauté, qui a ratifié 16 spécifications et bien d'autres sont en cours. Nous avons également assisté à des annonces majeures de RISC-V dans divers segments. Par exemple, Meta a indiqué que la société utilisait RISC-V pour les transcodeurs vidéo, les accélérateurs d'inférence et les puces de formation. De plus, Qualcomm a souligné qu'il avait livré un milliard d'appareils équipés de microcontrôleurs RISC-V et qu'il mettait sur le marché une solution portable basée sur RISC-V pour Wear OS by Google.

Certains problèmes avec RISC-V subsistent, mais même ceux-ci sont bons pour l'EDA. « Une certaine prise de conscience s'installe à propos de la vérification », déclare Frank Schirrmeister, vice-président des solutions et du développement commercial chez Artère. « La liberté d'innover s'accompagne d'une grande responsabilité à vérifier, et l'écosystème RISC-V nécessitera une maturation plus poussée pour soutenir la croissance. En plus de la vérification, les utilisateurs ont souligné lors du sommet RISC-V que des aspects tels que les IOMMU, le débogage et la trace, les contrôleurs d'interruption, la gestion de l'alimentation et la cohérence à l'échelle du SoC nécessitent un travail écosystémique pour soutenir la croissance continue de RISC-V.

Fin 2023, Synopsys a acquis discrètement Imperas, le principal fournisseur de modèles de référence RISC-V et d'une suite croissante d'outils de vérification ciblant RISC-V. De plus, Synopsys a annoncé ARC-V, une entreprise qui s'appuie sur ses années d'expérience avec le processeur extensible ARC et l'applique à l'architecture RISC-V.

Si le matériel open source gagne du terrain, ce n’est pas le cas de l’EDA open source. "Une bonne EDA demande beaucoup d'argent", déclare Ashish Darbari, fondateur et PDG de Axiomiser. « Les adeptes de l'EDA sont des entreprises qui utilisent ces outils parce qu'elles se soucient de la qualité et des délais de mise sur le marché. Ils veulent réussir du premier coup. Ces entreprises, en particulier les plus anciennes sociétés de silicium du monde, ne s'ouvrent pas à de nouvelles formes d'EDA open source et légèrement moins chères. L'EDA open source n'a malheureusement pas encore suffi pour l'espace RISC-V. La principale raison en est que les fournisseurs de silicium RISC-V et toutes les entreprises qui fabriquent du silicium n’investissent pas dans l’EDA open source.

L'IA au sein de l'EDA
EDA a une nouvelle flèche dans son carquois : l’IA. Les entreprises d’EDA ont adopté de manière sélective l’IA au cours de la dernière décennie, mais son utilité s’étend.

Le type d’IA utilisé au sein de l’EDA n’est peut-être pas aussi sexy que l’IA générative, comme le démontrent des outils comme ChatGPT. Néanmoins, il a été démontré que l’apprentissage par renforcement réussit à remplacer certaines des tâches les plus répétitives actuellement effectuées par les humains, en particulier lorsqu’il s’agit de relations complexes entre de nombreux facteurs.

Il est moins probable que l’IA remplace les concepteurs. «L'IA est formée», déclare Dean Drako, PDG d'IC ​​Manage. « Si vous entraînez votre IA, elle apprend de ce qui a déjà été fait. Si j’entraîne l’IA avec 1,000 XNUMX conceptions d’un additionneur, elle sait ce qu’est un additionneur. Je peux alors lui demander de concevoir un additionneur avec un ensemble défini de caractéristiques. Mais je ne vais pas demander à l’IA de proposer l’idée des chiplets, car cela n’a jamais été fait auparavant. La partie la plus importante de ce que nous faisons dans le monde de l’EDA et dans le monde du design est d’inventer de nouvelles choses. Chaque fois que nous fabriquons une nouvelle puce, nous inventons quelque chose de meilleur que la précédente. Nous ne faisons pas la même chose. L’IA sera très efficace pour effectuer des tâches répétitives.

L’ingénierie est une combinaison de créativité et de méthode. "La partie créative est relativement petite", explique Narain de Real Intent. « Ensuite, il y a la partie méthodique, où vous saisissez le code, créez cette fonctionnalité, la testez. Cela prend beaucoup de temps d’ingénierie. Il y a un mouvement vers une augmentation de la productivité des ingénieurs. En automatisant davantage les tâches méthodiques, vous leur donnez plus de temps pour considérer d’autres dimensions.

Cela peut également apporter de réels gains. "Les Big Four ont apporté des améliorations à l'IA au cours de l'année écoulée", déclare Rich Goldman, directeur de Ansys. « Des entreprises comme Synopsys, qui font de la synthèse logique depuis 40 ans, fournissent soudainement de meilleurs résultats grâce à l'IA. C'est tout simplement incroyable que quelque chose comme ça puisse changer autant.

Une grande partie de ces gains proviennent de l’utilisation de l’apprentissage par renforcement. "Mais désormais, l'IA générative ouvre la voie à un tout nouveau niveau de capacités", déclare Neil Hand, directeur du marketing du segment IC chez Siemens EDA. « Cette trajectoire a été impressionnante, non seulement par ce qu'elle a débloqué en termes techniques, mais aussi par ce qu'elle a débloqué en termes de perception pour les utilisateurs. Il y a quelques années, les gens ne se souciaient pas vraiment de la façon dont quelque chose était fait, du moment que c'était fait. Si vous parliez d’apprentissage automatique, les gens étaient un peu sceptiques et ne lui faisaient pas entièrement confiance. Maintenant, c'est complètement époustouflé. Les gens font confiance à l’IA et au ML. Les gens veulent savoir ce que vous faites. Ils veulent passer derrière l’écran et comprendre quelles technologies sont utilisées.

Il y a bien d’autres possibilités à venir. « L'un des défis auxquels je suis confronté en tant que concepteur d'outils EDA est l'interface humaine », explique Narain. « Nous calculons de nombreuses informations qui doivent être présentées à l'utilisateur. L’IA peut automatiser la partie du processus qui ajuste automatiquement les paramètres en fonction de modèles que nous, humains, ne pouvons pas voir – la capacité de trouver des modèles dans l’inconnu. Cela peut être un long processus.

EDA trouve le respect
L’EDA n’a pas été considérée comme une industrie dynamique digne d’investissement depuis longtemps. "Les semi-conducteurs et l'EDA sont à nouveau cool", déclare Hand de Siemens. « C’est probablement l’une des plus grandes surprises pour moi, après des années passées à être moins au premier plan. Même si nous changeons le monde au quotidien, nous n’avons pas été vus. L'année dernière, nous avons eu des spécialistes des semi-conducteurs à la Maison Blanche, des représentants de l'EDA discutant avec le président. Nous sommes soudainement devenus visibles.

Cela s’est reflété dans les valorisations. «C'était très agréable de voir les marchés publics reconnaître enfin la contribution de l'EDA», déclare Narain. « L’EDA a été sous-évaluée pendant si longtemps. Je dois remercier la direction des grandes entreprises qui a joué un rôle déterminant dans la réalisation de cet objectif.

Est-ce trop une bonne chose ? «Je suis surpris par la capitalisation boursière des sociétés EDA», déclare Drako d'IC ​​Manage. « Ils sont ridiculement élevés. C'est tout simplement incroyable. Un peu justifié ou en retard, peut-être. Le secteur de l'EDA est le moteur de tout dans notre vie, et pourtant, l'industrie de l'EDA a vraiment été un coin perdu qui n'a pas gagné beaucoup d'argent et n'a pas reçu beaucoup de respect. Cela nous a pris 50 ans, mais le respect et l'argent qui parviennent au monde de l'EDA se font attendre depuis longtemps.

Les valorisations élevées ont tendance à rendre les acquisitions plus probables, et nous avons certainement constaté une augmentation de l’activité dans ce domaine. Si la fusion récemment annoncée de Synopsys et Ansys se concrétise, ce sera de loin la plus grande transaction d'entreprise jamais vue depuis très longtemps.

Un horizon nuageux
Mais il y a au moins un nuage à l’horizon. Les mêmes forces qui rendent l’EDA plus visible sont également liées à des postures géopolitiques qui pourraient avoir des implications à long terme. « J'ai une liste d'environ 15 initiatives nationales, dans différents pays, pour le financement des semi-conducteurs et de l'EDA », explique Hand. « La loi CHIPS n’était qu’un exemple. En retour, cela crée également des vents contraires, car l’un des plus grands marchés est la Chine. Comment gérez-vous les restrictions à l’exportation ? Comment les gérer pour leur permettre de faire ce qu'ils sont autorisés à faire, mais de manière à ne violer aucune restriction ? »

De nombreuses usines sont en construction. « La loi CHIPS accélère les projets de construction de fonderies de semi-conducteurs, ce qui pourrait avoir un impact significatif sur les cycles des semi-conducteurs », déclare Goldman d'Ansys. « Il faut du temps pour construire une nouvelle fonderie. Vous voyez des fonderies en Arizona avec TSMC et Intel, ainsi qu'en Ohio et dans le centre de New York, et dans des endroits où nous ne les avons jamais vus auparavant. Au sein de l’EDA, nous avons constaté beaucoup d’efforts en coulisses pour déterminer où va l’argent, mais l’argent n’a pas encore été débloqué. Je pense que nous verrons cet impact au cours de la prochaine année.

Toute cette capacité de fabrication supplémentaire doit être remplie d’une manière ou d’une autre, si l’on veut que ces usines soient économiquement viables. « Avec le CHIPS Act, ils souhaitent démocratiser la conception de puces et accéder aux outils, bibliothèques et IP, afin que davantage d'équipes puissent réaliser une conception de puces avancée », explique Marc Swinnen, directeur du marketing produit chez Ansys. « Ils veulent également des progrès en matière d'EDA, avec des recherches dans les universités, et ils ont besoin que les fournisseurs d'EDA forment un consortium où ils se bousculent tous un peu inconfortablement les uns à côté des autres dans le même compartiment de disponibilité. Il y a beaucoup de travail de pionnier à faire. Ce qu’ils veulent du point de vue de la conception, et comment y parvenir, est en train d’être élaboré en ce moment.

Pour chaque action, il y a une réaction égale et opposée. « Ce qui va arriver est une conséquence involontaire », déclare Drako. « La plupart des outils EDA sont fabriqués par des entreprises américaines. Ces outils sont si avancés et contiennent tellement de connaissances que personne ne tente de les recréer. Mais compte tenu des défis commerciaux entre la Chine et les États-Unis, les Chinois vont déployer des efforts très importants pour développer des outils d’EDA. Nous créons essentiellement un concurrent, ou créons une deuxième source, ou créons un autre acteur dans l’espace des outils EDA.

Comme nous l’avons vu dans l’EDA traditionnelle, lorsqu’une entreprise prend suffisamment d’avance, il est difficile, voire impossible, de la rattraper, tant qu’elle continue à investir. « Parfois, lorsque vous partez de zéro, vous pouvez créer une meilleure solution, car vous pouvez utiliser de meilleures technologies au début », ajoute Drako. « Mais d'autres fois, quand il se passe beaucoup de choses, il est très difficile de repartir de zéro et de créer une solution meilleure et plus rapide, car il y a tellement de connaissances et d'efforts dans les leçons apprises qui ont été transformées en une pile de code. Il faut beaucoup de temps pour rattraper son retard. »

Conclusion
Ce fut une excellente année pour l’EDA, quelle que soit la façon dont vous la regardez. Les nouvelles technologies et les nouvelles opportunités garantissent encore quelques bonnes années à venir. Comment l’industrie réagira-t-elle si un nouveau concurrent émerge ? Ce chapitre reste à écrire.

spot_img

Dernières informations

spot_img