Logo Zéphyrnet

Événement Intel Direct Connect – Semiwiki

Date :

Le mercredi 21 févrierst Intel a organisé son premier événement Foundry Direct Connect. L'événement comportait des séances publiques et NDA, et j'étais présent dans les deux. Dans cet article, je résumerai ce que j'ai appris (qui n'est pas couvert par la NDA) sur les plans commerciaux, de processus et de fabrication de plaquettes d'Intel (je me concentre sur la technologie des processus et la fabrication de plaquettes).

La Brochure

Points clés du discours d’ouverture de mon point de vue.

  • Intel va organiser l'entreprise sous le nom de Product Co (je ne suis pas sûr que Product Co soit le nom officiel) et Intel Foundry Services (IFS), Product Co interagissant avec IFS comme un client régulier de fonderie. Tous les systèmes clés seront séparés et protégés par un pare-feu pour garantir que les données des clients de la fonderie sont sécurisées et inaccessibles à Product Co.
  • L'objectif d'Intel est de faire d'IFS la deuxième fonderie au monde d'ici 2030. Il y a eu de nombreuses discussions sur le fait qu'IFS serait la première fonderie de systèmes. En plus d'offrir l'accès aux processus de fabrication de plaquettes d'Intel, IFS offrira le packaging avancé d'Intel, IP et une expertise en architecture système.
  • C'était intéressant de voir le PDG d'Arm, René Haas, sur scène avec le PDG d'Intel, Pat Gelsinger. Arm a été décrit comme le partenaire commercial le plus important d'Intel, et il a été noté que 80 % des pièces utilisées chez TSMC sont équipées de cœurs Arm. À mon avis, cela montre à quel point Intel prend la fonderie au sérieux. Dans le passé, il était impensable pour Intel d'exécuter Arm IP.
  • Il y a environ 3 mois, IFS a révélé qu'elle avait des commandes d'une valeur à vie de 10 milliards de dollars, aujourd'hui, cette valeur est passée à 15 milliards de dollars !
  • Intel prévoit de publier des données financières retraitées remontant à trois ans, réparties entre Product Co et IFS.
  • Le PDG de Microsoft, Satya Nadella, est apparu à distance pour annoncer que Microsoft était en train de concevoir un Intel 18A.

Technologie de processus

  • Lors d'une session NDA, Ann Kelleher a présenté la technologie de processus d'Intel.
  • Intel a ciblé cinq nœuds en quatre ans (par opposition aux environ 5 ans qu'il a fallu pour réaliser le 10 nm). Les nœuds prévus étaient i7, i4, le premier processus EUV d'Intel, i3, 20A avec RibbonFET (Gate All Around) et PowerVia (alimentation arrière) et 18A.
  • Les i7 et i4 sont en production, l'i4 étant produit dans l'Oregon et en Irlande, et l'i3 est prêt à être fabriqué. Les modèles 20A et 18A sont en passe d'être prêts à être produits cette année, voir figure 1.

Figure 1

 Figure 1. Cinq nœuds en quatre ans.

Je peux me demander s'il s'agit vraiment de cinq nœuds, à mon avis, i7, i3 et 18A sont des demi-nœuds après i10, i4 et 20A, mais les performances restent très impressionnantes et montrent qu'Intel est de retour sur la bonne voie pour le développement de processus. Ann Kelleher mérite beaucoup de mérite pour avoir remis le développement des processus Intel sur les rails.

  • Intel complète également son offre pour la fonderie, i3 aura désormais i3-T (TSV), i3-E (amélioré) et i3-P (versions performances).
  • Je ne peux pas discuter de détails, mais Intel a montré de solides données de rendement pour le i7 jusqu'au 18A.
  • Les 20A et 18A devraient être prêts à la fabrication cette année et seront les premiers processus RibbonFET (Gate All Around Stacked Horizontal Nanosheets) et PowerVia (alimentation électrique arrière) d'Intel. PowerVia sera la première utilisation au monde de l'alimentation électrique arrière et, sur la base de l'annonce publique que j'ai vu de Samsung et TSMC, aura environ deux ans d'avance sur les deux sociétés.PowerVia laisse le routage du signal sur la face avant de la plaquette et déplace l'alimentation vers l'arrière, permettant une optimisation indépendante des deux, réduit la chute de puissance et améliore le routage et les performances.
  • Le 18A semble susciter beaucoup d'intérêt et progresse bien avec la sortie du 0.9PDK et plusieurs sociétés ont enregistré des dispositifs de test. Il y aura également une version performante 18A-P. À mon avis, le 18A sera le processus le plus performant disponible lors de sa sortie, bien que TSMC ait des processus à densité de transistors plus élevée.
  • Après 18A, Intel passe à une cadence de nœuds de deux ans avec 14A, 10A et NEXT prévus. La figure 2 illustre la feuille de route des processus d'Intel.

Figure 2

Figure 2. Feuille de route du processus.

  • Pour compléter l'offre de fonderie d'Intel, ils développent un processus 12 nm avec UMC et un processus 65 nm avec Tower.
  • Le premier outil EUV High NA se trouve dans l’Oregon avec des points de preuve attendus en 2025 et une production sur 14A attendue en 2026.

Activation de la conception

Gary Patton a présenté les fonctionnalités de conception d'Intel lors d'une session NDA. Gary est un responsable du développement d'IBM de longue date et a également été CTO chez Global Foundries avant de rejoindre Intel. Dans le passé, les flux de conception non standard d'Intel constituaient un obstacle important à l'accès aux processus Intel. Points clés du discours de Gary :

  • Intel adopte les pratiques de conception, les versions PDK et la nomenclature conformes aux normes de l'industrie.
  • Toutes les principales plates-formes de conception seront prises en charge, Synopsys, Siemens, Cadence, Ansys et les représentants des quatre présentés lors des sessions.
  • Toutes les principales propriétés IP fondamentales sont disponibles dans l'offre de fonderie d'Intel.
  • À mon avis, il s'agit d'un énorme pas en avant pour Intel. En fait, ils ont discuté de la rapidité avec laquelle il a été possible d'intégrer divers éléments de conception dans leurs processus.
  • La disponibilité de la propriété intellectuelle et la facilité de conception pour une fonderie sont essentielles au succès et Intel semble avoir coché cette case critique pour la première fois.

Emballage

Choon Lee a présenté l'emballage et c'est un autre étranger amené chez Intel, je crois qu'il a dit qu'il n'était là que depuis 3 mois. Un autre analyste a commenté qu'il était rafraîchissant de voir Intel placer des personnes venues de l'extérieur à des postes clés, au lieu que toutes les personnes clés soient des employés de longue date d'Intel. L'emballage n'est pas vraiment mon objectif, mais quelques remarques qui me semblent essentielles :

  • Intel propose son package avancé à ses clients et l'appelle ASAT (Advanced System Assembly and Test) par opposition à OSAT (Outsourced Assembly and Test).
  • Intel assemblera plusieurs produits de puces avec des puces provenant d'IFS et d'autres fonderies.
  • Intel dispose d'une capacité unique pour tester des puces isolées qui permettent un contrôle de température beaucoup plus rapide et meilleur.
  • La figure 3 résume les capacités de fonderie et de packaging d'Intel.

Figure 3

Figure 3. Fonderie et emballage d'Intel.

Fabrication Intel

Également sous NDA, Keyvan Esfarjani a présenté la fabrication d'Intel. Les principaux points à divulguer sont :

  • Intel est la seule fonderie géographiquement diversifiée avec des usines de fabrication en Oregon, en Arizona, au Nouveau-Mexique, en Irlande et en Israël et des usines de fabrication planifiées dans l'Ohio et en Allemagne. Intel construit des infrastructures autour des usines de fabrication sur chaque site.
  • Le modèle de fonderie IFS permettra à Intel d'accélérer les processus et de les maintenir en production, au lieu d'accélérer les processus puis de les réduire plusieurs années plus tard, comme ils le faisaient auparavant en tant qu'IDM.
  • Emplacements des usines Intel :
    • Fab 28 en Israël produit des i10/i7 et Fab 38 est prévu à cet endroit.
    • Les Fab 22/32/42 en Arizona utilisent i10/i7 et les Fab 52/62 sont prévus sur ce site à la mi-2025 pour exécuter 18A.
    • Fab 24 en Irlande fonctionne à 14 nm avec une fonderie i16 prévue, Fab 34/44 également à cet endroit utilise maintenant i4 et monte i3. Ils dirigeront à terme la fonderie i3.
    • Fab 9/11x au Nouveau-Mexique utilise un emballage avancé et ajoutera 65 nm avec Tower en 2025.
  • Expansions prévues dans l’Ohio et en Allemagne.
  • L'Oregon n'a pas été abordé en détail, probablement parce qu'il s'agit d'un site de développement, même s'il effectue les premières fabrications. L'Oregon a les Fabs D1C, D1D et 3 phases de D1X en cours d'exécution avec des reconstructions de D1A et 4 supplémentaires.th phase du D1X en cours de planification.

Conclusion

Dans l’ensemble, l’événement a été très bien exécuté et les annonces ont été impressionnantes. Intel a remis sur les rails le développement de ses technologies de processus et prend la fonderie au sérieux et fait les bonnes choses pour réussir. TSMC est assuré de devenir la fonderie numéro un au monde dans un avenir prévisible, mais étant donné les problèmes de rendement récurrents de Samsung, je pense qu'Intel est bien placé pour rivaliser avec Samsung pour la deuxième place.

Lisez aussi:

ISS 2024 – Logic 2034 – Technologie, économie et durabilité

Intel devrait être le plan A du monde libre et non le plan B, et nous avons besoin que le gouvernement américain intervienne.

Dans quelle mesure les chipsets seront-ils perturbateurs pour Intel et TSMC ?

Partagez cet article via:

spot_img

Dernières informations

spot_img