Zephyrnet-Logo

Chiplets treten in das Supercomputer-Rennen ein

Datum:

Mehrere Unternehmen aus verschiedenen Nationen konkurrieren um die Lieferung und den Einsatz von Chiplet-basierten Exascale-Supercomputern, einer neuen Klasse von Systemen, die 1,000-mal schneller sind als die heutigen Supercomputer.

Die neuesten Exascale-Supercomputer-CPU- und -GPU-Designs mischen und kombinieren komplexe Chips in fortschrittlichen Paketen und fügen Supercomputern ein neues Maß an Flexibilität und Anpassung hinzu. Seit Jahren wetteifern verschiedene Nationen um die Führungsposition in diesem Bereich, mit Vorteilen, die weit über Supercomputer hinausgehen. Diese großen und teuren Systeme ebnen den Weg für enorme Durchbrüche in den Bereichen KI, Biologie, Verteidigung, Energie und Wissenschaft.

Die heutigen Supercomputer sowie die neuen Exascale-Systeme basieren auf den Prinzipien des konventionellen Rechnens, das sich von Quantencomputern völlig unterscheidet. Beim herkömmlichen Rechnen werden die Informationen in Bits gespeichert, die entweder eine Null oder eine Eins sein können. Beim Quantencomputing werden die Informationen in Quantenbits oder Qubits gespeichert, die als Null, Eins oder eine Kombination aus beidem existieren können. Der Überlagerungszustand ermöglicht es einem Quantencomputer, traditionelle Systeme zu übertreffen, aber Quantensysteme sind noch Jahre davon entfernt, praktisch zu sein.

Moderne konventionelle Supercomputer können mehr als 1 Billiarde (1015) Gleitkommaoperationen pro Sekunde (petaFLOPS oder Pflop/s). Heute ist der Fugaku, ein von Riken und Fujitsu gebauter Supercomputer, mit einem High-Performance Linpack (HPL) Benchmark-Ergebnis von 442 Pflop/s das schnellste System der Welt. Der HPL-Score spiegelt die Leistung eines Systems beim Lösen bestimmter linearer Gleichungen wider. Sie spiegelt nicht die Gesamtleistung eines Systems wider.

Exascale-Geschwindigkeit
Inzwischen haben mehrere Unternehmen aus China, Europa, Japan und den Vereinigten Staaten Supercomputer der Exascale-Klasse entwickelt, die eine Trillion Berechnungen durchführen (1018) oder mehr pro Sekunde (exaFLOPS oder Eflop/s).

Kürzlich behaupteten zwei Supercomputer in China, die Eflop/s-Barriere durchbrochen zu haben, obwohl diese Ergebnisse noch unbegründet sind. Und später in diesem Jahr wird erwartet, dass die USA ihren ersten Exascale-Supercomputer einsetzen, ein System mit 1.5 Eflop/s oder schneller namens Frontier. Frontier basiert auf den Serverprozessoren und GPU-Beschleunigern von AMD und befindet sich im Oak Ridge National Laboratory.

Die USA entwickeln auch zwei weitere Exascale-Supercomputer, darunter Aurora, der am Argonne National Laboratory gebaut wird. Aurora ist um die Serverprozessoren und GPUs von Intel herum aufgebaut.

Aus architektonischer Sicht sind alle Supercomputer ähnlich. Diese Systeme bestehen aus einer Vielzahl von Racks, von denen jedes aus vielen Rechenknoten besteht. Jeder Rechenknoten verfügt über mehrere CPUs und GPUs. Traditionell waren viele dieser Chips große und komplexe System-on-a-Chip (SoC)-Geräte, bei denen alle Funktionen auf einem monolithischen Die integriert sind.

Das beginnt sich zu ändern. Einige, aber nicht alle Exascale-Supercomputer verwenden einen Chiplet-Ansatz, insbesondere die in den USA ansässigen Systeme. Anstelle eines SoC enthalten die CPUs und GPUs in diesen Systemen kleinere Chips oder Kacheln, die dann hergestellt und zu fortschrittlichen Paketen neu aggregiert werden. Einfach ausgedrückt ist es relativ einfacher, kleinere Chips mit höheren Ausbeuten herzustellen als große SoCs.

Die Idee, mehrere Chips in einem Gehäuse zu integrieren, ist nicht neu, insbesondere im High-Performance-Computing (HPC). „Die Idee, mehrere Chips in einem einzigen Paket unterzubringen, gibt es schon lange. IBM verwendete Anfang der 1980er Jahre einen Multi-Chip-Träger, um seine Mainframes zu bauen“, sagte Bob Sorensen, Senior Vice President of Research bei Hyperion Research. „Theoretisch sind Chiplets also nur die jüngste Inkarnation mehrerer Chips in einem einzigen Gehäuse. Aber Chiplets können es einem HPC-Designer ermöglichen, den Prozessor zu bauen, der genau die Rechen-, Speicher- und E/A-Fähigkeiten hat, die am besten für die erwartete Arbeitslast eines HPC geeignet sind.“

Es gibt mehrere Änderungen und Ankündigungen in diesem Markt. Unter ihnen:

  • China setzt Exascale-Supercomputer ein.
  • Die USA bereiten ihre ersten Exascale-Systeme vor.
  • AMD und Intel haben Details zu ihren Chips für die Exascale-Ära preisgegeben.
  • Die Industrie hat einen neuen Standard zum Verbinden von Chiplets in einem Gehäuse veröffentlicht.

Abb. 1: Der Exascale-Supercomputer von Frontier soll später in diesem Jahr eingesetzt werden und zielt auf eine Leistung von 1.5 Eflops/s ab. Quelle: Oak Ridge National Laboratory

Abb. 1: Der Exascale-Supercomputer von Frontier soll später in diesem Jahr eingesetzt werden und zielt auf eine Leistung von 1.5 Eflops/s ab. Quelle: Oak Ridge National Laboratory

Supercomputer-Rennen
Insgesamt soll der Markt für Supercomputer laut Hyperion Research von 6.6 Milliarden US-Dollar im Jahr 2021 auf 7.8 Milliarden US-Dollar im Jahr 2022 wachsen. Hyperion unterteilt den Supercomputer-Markt in drei Segmente – Führung/Exascale, Groß (jeweils 3 Millionen US-Dollar und mehr) und Einstiegsklasse (500,000 bis 3 Millionen US-Dollar). Jedes Exascale-System kostet ungefähr 600 Millionen US-Dollar.

Supercomputer werden seit Jahren für zahlreiche Anwendungen eingesetzt. „Supercomputing wird für viele Dinge benötigt, einschließlich massiver Simulationsaufgaben wie Wettervorhersage, massiver arithmetischer Rechenaufgaben wie Kryptowährungs-Mining, massiver Bildverarbeitungsaufgaben wie Satellitenbildverarbeitung und massiver neuronaler Netzwerkberechnung für Deep-Learning-Training“, sagte Aki Fujimura, CEO von D2S. „Es wird in großem Umfang in der Halbleiterfertigung für Probleme wie inverse Lithografietechnologien, Maskenprozesskorrektur, simulationsbasierte Überprüfung von Masken und Wafern sowie Masken- und Waferinspektion eingesetzt.“

Als Zeitlinie betrachtet, hat die Computerbranche enorme Fortschritte gemacht. 1945 entwickelte die University of Pennsylvania ENIAC, den ersten elektronischen Digitalcomputer für allgemeine Zwecke. Unter Verwendung von Vakuumröhren zur Verarbeitung der Daten führte ENIAC 5,000 Additionen pro Sekunde durch.

Ab den 1950er Jahren ersetzten Transistoren in vielen Systemen Vakuumröhren und ermöglichten schnellere Computer. Transistoren, die wichtigsten Bausteine ​​in Chips, dienen als Schalter in Geräten.

1964 stellte das inzwischen nicht mehr existierende Unternehmen Control Data den CDC 6600 vor, den ersten Supercomputer der Welt. Der 6600 enthielt einen 60-Bit-Prozessor mit Transistoren mit einer Leistung von 2 MIPS. Seitdem sind Supercomputer viel leistungsfähiger geworden. Gleichzeitig überholen sich verschiedene Nationen weiterhin gegenseitig um die Leistungsführerschaft.

Beispielsweise war IBMs Roadrunner 2008 mit einer Leistung von 1.026 Pflop/s der schnellste Supercomputer der Welt. Es war der erste Supercomputer, der diesen Meilenstein erreichte. 2010 sprang China dann mit dem Tianhe-1A, einem Supercomputer mit einer Leistung von 2.57 Pflop/s, in die Spitzenposition.

Seit 2020 ist Japans Fugaku die Nr. 1 im Supercomputing. IBMs Summit hält den zweiten Platz und ist der schnellste Supercomputer in den USA

Das Fugaku-System besteht aus 158,976 Rechenknoten für insgesamt 7,630,848 ARM-Prozessorkerne. „Jeder Knoten ist mit einem Prozessor namens A64FX ausgestattet, der aus Allzweck-Prozessorkernen mit 48 Kernen und vier Hilfskernen besteht. A64FX wird mit einem 7-nm-Prozess hergestellt“, sagte Shuji Yamamura, ein Forscher bei Fujitsu/Riken, in einem Beitrag auf der jüngsten ISSCC-Veranstaltung.

Fugaku verwendet einen speziell angefertigten ARM-Prozessor. Es ist keine Chiplet-Architektur. Im Gegensatz dazu verwenden Chinas Supercomputer in der Regel kundenspezifische Prozessoren. Viele Nicht-Exascale-Supercomputer verwenden Händlerchips.

„Für den Mainstream-HPC-Sektor basieren Hardwareentscheidungen in erster Linie auf der Verfügbarkeit von Mainstream-Massenkomponenten“, sagte Sorensen von Hyperion. „Dazu könnten Intel-CPUs, Nvidia-GPUs und InfiniBand-Verbindungen gehören. Sie können so konfiguriert werden, dass sie am besten für die HPC-Workload-Umgebung geeignet sind, oder sie verfügen über einige aggressive Verpackungs- und Kühlfunktionen, um die Stromversorgungsprobleme zu bewältigen.“

Sowohl CPUs als auch GPUs spielen bei HPC eine Schlüsselrolle. „Für die Programmierung der sequentiellen Datenverarbeitung sind CPUs tendenziell kostengünstiger als GPUs. Aber für Aufgaben, die viel für eine bestimmte Dateneinheit berechnen, können GPUs viel effizienter sein, insbesondere wenn eine Rechenaufgabe in ein Single-Instruction-Multiple-Data-Problem (SIMD) umgewandelt werden kann. Hier werden viele Daten parallel verarbeitet und in denselben Anweisungen auf verschiedenen Daten ausgeführt“, sagte Fujimura von D2S.

Exascale-Ära
In Zukunft tritt das Supercomputing in die Ära des Exascale ein, die neue Durchbrüche in Biologie, Verteidigung, Wissenschaft und anderen Bereichen verspricht.

Exascale-Systeme sind teuer in der Entwicklung. „Im Exascale-Bereich kann ein HPC mit einem Wert von über 500 Millionen US-Dollar mehr als 20 % seines Gesamtbudgets für die Entwicklung spezieller Funktionen wie kundenspezifischer Chips, Verbindungen und anderer Komponenten verwenden, um bestimmte Workload-Anforderungen zu erfüllen“, sagte Sorensen von Hyperion.

Mehrere Unternehmen entwickeln Exascale-Supercomputer. China scheint einen knappen Vorsprung zu haben, dicht gefolgt von den Vereinigten Staaten. Europa ist hinter dem Rudel. Anfang dieses Jahres startete das European High Performance Computing Joint Undertaking (EuroHPC) mehrere neue Projekte, darunter ein Exascale-Programm. Es ist unklar, wann die EU ein System auf den Markt bringen wird.

China hat laut Hyperion Research drei Exascale-Supercomputer in Arbeit, Sunway Oceanlite, Tianhe-3 und Sugon. Sunway Oceanlite wurde im National Supercomputer Center in Wuxi installiert und 2021 fertiggestellt. Letztes Jahr behaupteten Forscher, eine Spitzenleistung von 1.3 Eflop/s erreicht zu haben. Dieses System basiert auf einer intern entwickelten SW39010-CPU. Insgesamt besteht das System laut Hyperion aus mehr als 38 Millionen CPU-Kernen.

Ende letzten Jahres fertiggestellt, hat Tianhe-3 eine Leistung von 1.7 Eflop/s gezeigt. Inzwischen hat sich das Sugon-System verzögert. Keines der Leistungsergebnisse aus China wurde bestätigt.

Während in China eher traditionelle Custom-Prozessoren zum Einsatz kommen, gehen die US-amerikanischen Exascale-Systeme einen anderen Weg. Die CPUs und GPUs nutzen Chiplets, bei denen Sie Chips mischen und anpassen und sie in Paketen zusammenbauen.

Bis heute haben sich AMD, Intel, Marvell und andere entwickelt Chiplet-basierte Designs, hauptsächlich für Server- und andere High-End-Anwendungen. Das Konzept ist auch ideal für Supercomputing.

„Chiplets werden in mehreren Anwendungen implementiert, die von ihren Eigenschaften profitieren, einschließlich erheblicher Größenreduzierung, geringerem Stromverbrauch und besserer Hochgeschwindigkeitsleistung“, sagte Richard Otte, Präsident und CEO von Promex, der Muttergesellschaft von QP-Technologien. „Zum Beispiel arbeiten das DoD und DARPA daran, die schnellsten Supercomputer in ihre Labore zu bringen, und Chiplets werden dazu beitragen, dies zu ermöglichen.“

Heute sind in den USA drei Exascale-Systeme in Arbeit – Aurora, El Capitan und Frontier. Frontier soll Ende 2022 in Betrieb gehen, gefolgt von Aurora und El Capitan im Jahr 2023.

2019 erteilte das US-Energieministerium (DOE) Cray den Auftrag, den Exascale-Supercomputer Frontier in den Oak Ridge National Labs zu bauen. 2019 wurde Cray von Hewlett Packard Enterprise (HPE) übernommen.

HPE hat die Plattform für Frontier entwickelt, die eine Vielzahl von Rechenknoten unterstützt. Jeder Rechenknoten unterstützt eine von AMDs Server-CPUs und vier AMD-GPU-Beschleuniger.

Basierend auf einem 6-nm-Prozess von TSMC integriert AMDs neuer GPU-Beschleuniger zwei Chips, die insgesamt aus 58 Milliarden Transistoren bestehen. Die Architektur übertrifft 380 Teraflops Spitzenleistung.

Die GPU-Architektur ist in a integriert 2.5D Paket mit einem Twist. In den meisten 2.5D/3D-Gehäusen werden Chips gestapelt oder Seite an Seite auf einem Interposer platziert, der integriert ist Durchkontaktierungen durch Silizium (TSV). Die TSVs stellen eine elektrische Verbindung von den Chips zur Platine her.

„TSVs sind die Basistechnologie von 3D-ICs, [Bereitstellen] elektrischer Verbindungen zwischen den gestapelten Chips. Der Hauptvorteil der 3D-IC-Technologie mit TSVs besteht darin, dass sie eine viel kürzere Verbindung zwischen verschiedenen Komponenten bietet, was zu einer geringeren resistiv-kapazitiven Verzögerung und einem geringeren Platzbedarf des Geräts führt“, sagte Luke Hu, ein Forscher bei UMC, in einer kürzlich erschienenen Veröffentlichung.

Abb. 2: Verschiedene Optionen für Hochleistungs-Compute-Packaging, Interposer-basiertes 2.5D vs. Fan-Out Chip on Substrate (FOCoS). Quelle: ASE

Abb. 2: Verschiedene Optionen für Hochleistungs-Compute-Packaging, Interposer-basiertes 2.5D vs. Fan-Out Chip on Substrate (FOCoS). Quelle: ASE

In 2.5D/3D-Paketen wird die Interposer funktioniert, aber es wird Platz auf der Struktur verschwendet. Daher haben mehrere Unternehmen einen alternativen Ansatz namens a entwickelt Siliziumbrücke. Eine Brücke ist ein winziges Stück Silizium mit Routing-Schichten, das einen Chip mit einem anderen in einem Gehäuse verbindet. In einem Beispiel hat Intel die Embedded Multi-Die Interconnect Bridge (EMIB) entwickelt, eine Siliziumbrücke, die typischerweise in das Substrat eingebettet ist.

In der Zwischenzeit stapelt das Unternehmen in AMDs GPU eine GPU und Speicher mit hoher Bandbreite (HBM) nebeneinander auf einer Siliziumbrücke. HBM ist im Grunde ein DRAM-Speicherstapel.

Im Gegensatz zu EMIB, das in das Substrat eingebettet ist, setzt AMD die Brücke auf das Substrat. AMD nennt dies eine 2.5D Elevated Fanout Bridge (EFB).

Abb. 3: Substratbasierte Bridge vs. AMDs 2.5D Elevated Fanout Bridge (EFB) Quelle: AMD

Abb. 3: Substratbasierte Bridge vs. AMDs 2.5D Elevated Fanout Bridge (EFB) Quelle: AMD

Weitere Exascale-Supercomputer sind in Arbeit. Vor nicht allzu langer Zeit kündigten das Lawrence Livermore National Laboratory, HPE und AMD El Capitan an, ein Exascale-System, das voraussichtlich 2 Eflop/s überschreiten wird. Dieses System basiert auf Chiplet-basierten CPUs und GPUs von AMD.

Im Jahr 2019 gaben das DOE, Intel und HPE Pläne zum Bau von Aurora bekannt, einem ≥2 Eflop/s-System. Ursprünglich sollte Aurora 2021 an Argonne geliefert werden, was jedoch aufgrund von Chipverzögerungen bei Intel verschoben wurde.

Aurora basiert auf der Supercomputer-Plattform von HPE mit mehr als 9,000 Rechenknoten. Jeder Knoten besteht aus zwei Sapphire Rapids-Prozessoren von Intel, sechs GPU-Beschleunigern von Intel (Codename Ponte Vecchio) und einer einheitlichen Speicherarchitektur. Es besteht aus 10 Petabyte (PB) Arbeitsspeicher und 230 PB Speicher.

Sapphire Rapids ist ein Xeon-Prozessor der nächsten Generation, der 4 kleinere CPU-Dies in einem Paket enthält. Basierend auf dem 7-nm-FinFET-Prozess von Intel werden die Chips mit EMIB verbunden.

Der Prozessor besteht aus mehr als 100 MB gemeinsam genutztem L3-Cache, 8 DDR5-Kanälen und 32 GT/s PCIe/CXL-Lanes. „Zu den neuen Technologien gehören Intel Advanced Matrix Extensions (AMX), eine Matrixmultiplikationsfunktion zur Beschleunigung von KI-Workloads und neue Virtualisierungstechnologien zur Bewältigung neuer und aufkommender Workloads“, sagte Nevine Nassif, leitender Ingenieur bei Intel, in einer Präsentation auf der jüngsten ISSCC Veranstaltung.

In Aurora arbeitet die CPU mit Ponte Vecchio, einer GPU, die auf Intels Xe-HPC-Mikroarchitektur basiert. Dieses komplexe Gerät enthält 47 Kacheln auf fünf Prozessknoten in einem Paket. Insgesamt besteht das Gerät aus mehr als 100 Milliarden Transistoren.

Grundsätzlich stapelt Ponte Vecchio zwei Basischips auf einem Substrat. Auf jedem Basischip stapelt Intel eine Speicherstruktur, gefolgt von Rechen- und SRAM-Kacheln. Das Gerät verfügt außerdem über acht HBM2E-Kacheln. Damit die Chips miteinander kommunizieren können, verwendet Intel eine proprietäre Chip-zu-Chip-Verbindung.

Basierend auf dem 7-nm-Prozess von Intel stellen die beiden Basis-Dies ein Kommunikationsnetzwerk für die GPU bereit. Die Dies umfassen Speichercontroller, Spannungsregler, Energieverwaltung und 16 PCIe Gen5/CXL-Hostschnittstellen-Lanes.

Auf jedem Basischip stapelt Intel 8 Rechenkacheln und 4 SRAM-Kacheln. Die Rechenkacheln basieren auf dem 5-nm-Prozess von TSMC, während der SRAM auf der 7-nm-Technologie von Intel basiert.

Insgesamt enthält das Gerät 16 Rechenkacheln und 8 SRAM-Kacheln. Jede Compute-Kachel hat 8 Kerne. „Jeder Kern enthält 8 Vektor-Engines, die 512-Bit-Gleitkomma-/Integer-Operanden verarbeiten, und 8 Matrix-Engines mit einem 8-tiefen systolischen Array, das 4096-Bit-Vektoroperationen ausführt“, sagte Wilfred Gomes, ein Mitarbeiter von Intel, in einem Artikel unter ISSCC.

Zur Stromversorgung implementiert Intel sogenannte Fully Integrated Voltage Regulators (FIVRs) auf den Basis-Dies. „FIVR auf dem Basis-Die liefert bis zu 300 W pro Basis-Die in eine 0.7-V-Versorgung“, sagte Gomes. „3D-gestapelte FIVRs ermöglichen eine feinkörnige Steuerung mit hoher Bandbreite über mehrere Spannungsdomänen und reduzieren den Eingangsstrom.“

Das Wärmemanagement stellt eine große Herausforderung bei fortschrittlichen Verpackungen dar. Um dieses Problem zu beheben, platziert Intel einen Heatspreader auf der GPU. Dann wird ein thermisches Schnittstellenmaterial (TIM) auf die oberen Chips aufgebracht.

„Das TIM eliminiert Luftspalte, die durch unterschiedliche Die-Stack-Höhen verursacht werden, um den Wärmewiderstand zu reduzieren. Zusätzlich zu den 47 Funktionskacheln sind 16 zusätzliche Thermoschild-Dies gestapelt, um eine thermische Lösung über dem freiliegenden Basis-Die-Bereich bereitzustellen, um Wärme zu leiten“, sagte Gomes.

Wie man Chiplets entwickelt
Supercomputing ist nur eine von vielen Anwendungen für Chiplets. In letzter Zeit haben mehrere Anbieter Chiplet-ähnliche Designs für Server entwickelt. Zukünftige Chiplet-Architekturen sind in Arbeit.

Die Entwicklung eines Chiplet-ähnlichen Designs ist ansprechend, aber es gibt mehrere Herausforderungen. Die Entwicklung von Chiplets erfordert Ressourcen und mehrere Elemente.

Wie bereits erwähnt, entwerfen Sie bei Chiplets, anstatt einen großen SoC zu entwerfen, einen Chip mit kleineren Chips von Grund auf neu. Dann stellen Sie die Chips her und bauen sie wieder zu einem Paket zusammen. Damit sind mehrere Designüberlegungen verbunden.

„In gewisser Weise erfordert diese Art von fortschrittlichem Paket oder fortschrittlichem Produkt Verbindungen mit hoher Dichte“, sagte Choon Lee, Chief Technology Officer von JCET. „In diesem Zusammenhang ist die Verpackung selbst also nicht mehr nur ein einzelner Chip in einer Verpackung mit Verkapselung. Bei fortschrittlicheren Verpackungen müssen Sie über das Layout, die Interaktionen mit dem Chip und dem Gehäuse und das Routing dieser Schichten nachdenken. Die Frage ist, wie man das Layout wirklich optimiert, um die optimale Leistung oder maximale Leistung im Paket zu erhalten.“

Das ist nicht das einzige Problem. In dem Paket sind einige Chips gestapelt. Andere Chips befinden sich an anderer Stelle im Paket. Sie brauchen also eine Möglichkeit, einen Die mit einem anderen zu verbinden, indem Sie Die-zu-Die-Verbindungen verwenden.

Die Chiplet-ähnlichen Designs von heute verbinden die Chips über proprietäre Busse und Schnittstellen, was die Akzeptanz der Technologie einschränkt. Mehrere Organisationen haben an offenen Bussen und Schnittstellenstandards gearbeitet.

Bei den jüngsten Bemühungen haben ASE, AMD, Arm, Google, Intel, Meta, Microsoft, Qualcomm, Samsung und TSMC kürzlich ein Konsortium gebildet, das einen Chiplet-fähigen Die-to-Die-Verbindungsstandard etabliert. Die Gruppe ratifizierte auch die UCIe-Spezifikation, einen offenen Industrie-Verbindungsstandard auf Paketebene. Die UCIe 1.0-Spezifikation deckt die physische Die-to-Die-E/A-Schicht, Die-to-Die-Protokolle und den Software-Stack ab.

„Das Zeitalter der Chiplets ist wirklich angebrochen und treibt die Branche dazu, sich von siliziumzentriertem Denken zu einer Planung auf Systemebene zu entwickeln und den entscheidenden Schwerpunkt auf das gemeinsame Design von IC und Gehäuse zu legen“, sagte Lihong Cao, Director of Engineering and Technical Vermarktung bei ASE. „Wir sind zuversichtlich, dass UCIe eine entscheidende Rolle bei der Ermöglichung von Ökosystemeffizienzen spielen wird, indem Entwicklungszeit und -kosten durch offene Standards für Schnittstellen zwischen verschiedenen IPs innerhalb eines Ökosystems mit mehreren Anbietern sowie durch die Nutzung fortschrittlicher Verbindungen auf Paketebene gesenkt werden.“

Das löst nicht alle Probleme. Bei allen Paketen ist das Wärmebudget ein großes Anliegen. „Leistungsverlust und Stromverbrauch sind große Herausforderungen“, sagte Michael Kelly, Vice President of Advanced Packaging Development and Integration bei Amkor. „Aufgrund der Integration auf Verpackungsebene kommt es in der Verpackungsindustrie gut an. Leider erzeugt Silizium viel Abwärme. Es ist thermisch nicht effizient. Irgendwo muss die Wärme abgeführt werden. Wir müssen das thermisch so effizient wie möglich machen, für jeden, der die Wärmeableitung im Endprodukt übernimmt, sei es in einer Telefonhülle oder einem Wasserkühler im Rechenzentrum. Interessant wird auch, wie viel tatsächlicher Strom wir in ein Hochleistungspaket liefern müssen. Die Leistung sinkt nicht, aber die Spannungen sinken. Um die gleiche Gesamtleistung oder mehr Leistung zu liefern, steigen unsere Ströme. Dinge wie Elektromigration müssen angegangen werden. Wir werden wahrscheinlich mehr Spannungswandlung und Spannungsregelung im Paket benötigen. Auf diese Weise können wir höhere Spannungen in das Gehäuse bringen und sie dann in niedrigere Spannungen trennen. Das bedeutet, dass wir nicht so viel Gesamtstrom in das Paket ziehen müssen. Macht trifft uns also auf zwei Arten. Es ist Wärme, aber es verwaltet auch das Stromversorgungsnetz elektrisch. Das zwingt mehr Inhalt in das Paket und gibt gleichzeitig Ihr Bestes bei der thermischen Verlustleistung.“

Zusammenfassung
Chiplets stellen eindeutig eine Schlüsseltechnologie dar und finden ihren Weg in Serverdesigns. Kürzlich hat Apple einen Mac-Desktop mit einem Chiplet-ähnlichen Prozessordesign vorgestellt. Jetzt sind Chiplet-basierte Exascale-Supercomputer auf dem Markt.

Für Exascale-Supercomputer werden Chiplet-basierte Ansätze für Frontier-, El Capitan- und Aurora-Systeme verwendet. Andere wie der Fugaku und der Sunway Oceanlite folgen weiterhin dem traditionellen SoC-basierten Ansatz. Beide Methoden funktionieren. Lassen Sie das Rennen beginnen.

Ähnliche Beiträge
Das große Quantencomputing-Rennen
Unternehmen und Länder stecken zig Milliarden Dollar in verschiedene Qubit-Technologien, aber es ist noch zu früh, um einen Gewinner vorherzusagen.

Der Wettlauf um 3D-Chips/Verpackungen der nächsten Generation beginnt
Hybrid Bonding eröffnet ein völlig neues Leistungsniveau in der Verpackung, aber es ist nicht die einzige Verbesserung.

Chiplets zusammensetzen
Änderungen, die diesen Verpackungsansatz in den Mainstream bringen könnten, und die bevorstehenden Herausforderungen.

Die nächste Welle von Advanced Packaging
Eine lange Liste von Optionen treibt Multi-Chip-Pakete an die Spitze des Designs und schafft gleichzeitig eine schwindelerregende Anzahl von Optionen und Kompromissen

Die Post Chiplets treten in das Supercomputer-Rennen ein erschien zuerst auf Halbleitertechnik.

spot_img

Neueste Intelligenz

spot_img