Zephyrnet Logosu

DUV Çoklu Modellemenin 3 nm'ye Doğru Uzatılması - Semiwiki

Tarih:

Çin'in yakın zamanda yalnızca DUV litografi kullanan 7 nm sınıfı bir dökümhane düğümü elde etmesi [1], DUV litografinin çoklu desenleme ile ne kadar genişletilebileceği sorusunu gündeme getiriyor. CSTIC 2023'te yakın zamanda yayınlanan bir yayın, Çinli grupların şu anda DUV tabanlı çoklu desenlemeyi 5 nm'ye genişletmeyi düşündüklerini ve bir katman için 6 maske kullanmayı düşünecek kadar ileri gittiklerini gösteriyor [2]. 3 nm'ye doğru giden DUV tabanlı ve EUV tabanlı yaklaşımları karşılaştırmak ilginç bir sonuca yol açıyor.

LELE Desenleme

Çoklu desenlemenin en temel biçimi, esas olarak temel litografinin ardından iki kez gravürün yapıldığı "Litho-Etch-Litho-Etch" (LELE) yaklaşımıdır. Bu, basılan iki birinci özellik arasına ikinci bir özellik eklendiğinden perdenin yarıya indirilmesini mümkün kılar. Buna ek olarak LE3 (3xLE) ve LE4 (4xLE) de takip edebilir. Bununla birlikte, orijinal perdenin yarısından daha azına ulaşmak için bu yaklaşımların kullanılması, kendinden hizalı aralayıcı desenlemenin gelmesiyle birlikte artık tercih edilmemektedir.

Kendinden Hizalamalı Ara Parça Desenleme

Kendiliğinden hizalanan aralayıcı desenleme, LELE'ye göre fazladan bir litografi adımı gerektirmeme avantajına sahiptir, dolayısıyla ekstra maliyetten tasarruf sağlar. Aralayıcı biriktirme ve ardından aşındırma, ardından boşluk doldurma ve ardından aşındırma, kaplamayı değiştirin, pişirin, açığa çıkarın, pişirin, litografi dizisini geliştirin. Çok daha ucuz olsa da, ara parça kalınlığı ve aşındırma hızı seçiciliği gibi hassas proses kontrolü hala gereklidir. Tek seferlik bir aralayıcı uygulaması, belirli bir aralıkta özelliklerin iki katına çıkmasına yol açar. Bu nedenle buna genellikle kendinden hizalı çift desenleme (SADP) adı verilir. Yeniden uygulama beklendiği gibi kendi kendine hizalanan dörtlü desenlemeye (SAQP) yol açar.

Çıkarmalı Desenleme

LELE ve SADP'nin her ikisi de doğal olarak bir kalıba özellikler eklerken bazen son düzen için bu özelliklerin bazı kısımlarını kaldırmak gerekebilir. Kesme maskeleri, çizgi bölümlerinin kaldırılacağı alanları belirtir. Bunlara aynı zamanda çizgi oluşturan aşındırma engellendiğinde blok konumları da denir. Ters maskeye koruma maskesi denir. Satır sonunu tek bir çizgi genişliğiyle sınırlamak, eğer bitişik çizgi de kazınabiliyorsa yerleştirme sorunlarına neden olur. Alternatif çizgiler kazınacak farklı malzemelerden yapılacak şekilde düzenlenebildiğinde, daha iyi toleranslarla satır sonları yapılabilir (Şekil 1).

DUV Çoklu Modellemenin 3 nm'ye Doğru Uzatılması

Şekil 1. Kendinden hizalı bloke/kesme yalnızca alternatif çizgilerin bölümlerini kaldırır.

Belirli bir ara bağlantı hattı için kopmalar arasındaki mesafenin en az iki metal adım olması beklenir. Bu nedenle, metal aralığı çözünürlük sınırının 1/4'ü ila 1/2'si arasında olduğunda hat başına iki maske beklenir.

Şekil 2. İki aşındırma seti için iki set blok/kesim maskesi gereklidir.

Alternatif Hat Düzenlemesi

Alternatif hatların düzenlenmesi LELE, SADP, SAQP veya SALELE (kendinden hizalanmış LELE) olarak bilinen bir LELE ve SADP melezi tarafından doğaldır [3]. SALELE, en dar metal aralıkları için EUV'nin varsayılan kullanımı olarak kabul edilmiştir [2, 4].

DUV ve EUV Maliyet Değerlendirmesi

DUV ile çoklu model oluşturmanın beklentilerinden biri, EUV'ye kıyasla maliyetin artmasıydı. Güncellenmiş bir yeniden değerlendirmenin zamanı geldi. İlk olarak, en son (2021) normalleştirilmiş modelleme maliyet tahminlerini kullanıyoruz [5] (Şekil 3).

Figür. 3 Referans 5'ten desenleme için normalleştirilmiş maliyetler.

Daha sonra, çeşitli düğümler için DUV ve EUV'ye yönelik temsili desenleme stillerini kullanıyoruz (Şekil 4).

Şekil 4. DUV ve EUV modelleme maliyetleri ve düğüm karşılaştırması

Birkaç yorum sırayla:

  1. 7nm DUV için 40 nm aralık, çözülebilecek tek özelliğin çizgiler olduğu bir noktadadır, dolayısıyla bu çizgilerin ayrı bir pozlamada kesilmesi gerekir.
  2. 7nm EUV için, 40 nm aralıkta gerekli çözünürlük (~20 nm) EUV sisteminin nokta yayılma fonksiyonundan (~25 nm) daha az olduğundan ayrı bir çizgi kesimi kullanılır. Yüksek NA EUV sistemi de odak derinliği ve gözbebeği doldurma sınırlamaları nedeniyle bu perde için avantajlı değildir [6].
  3. 3/5 nm DUV için LELE SADP, 40 nm altı aralık için SAQP'den daha esnektir [7].
  4. 3/5nm EUV için, LELE kullanmanın itici gücü, <17 nm yarı aralıkta ve <20 nm izole çizgi genişliğinde stokastik davranıştır [8,9]. 10 nm boyutlara yaklaştıkça, elektron saçılmasının doza bağlı bulanıklığı da [10-12] engelleyici hale gelecektir. Sistemin optik çözünürlüğü, yani NA, artık geçerli değildir.
  5. Desen şekillendirme, ön şekillendirme litografisini çok daha zorlaştıracağından kesikleri ortadan kaldırmanın bir yolu olarak düşünülmemektedir (Şekil 5). Ayrıca, açılı iyon ışını aşındırması genellikle önceden var olan topografyayı düzleştirmek ve aşındırma maskesi yüksekliğini azaltmak için kullanılmıştır [13].

Şekil 5. Desen için, biçimlendirmeden önce deseni şekillendirmek çok taş dostu değildir.

Çoğunlukla, DUV LELE'nin EUV tek pozlamadan (SE) çok daha ucuz olduğu yönünde doğrudan yargıya varabiliriz. Ayrıca DUV LE4, EUV çift desenlemeden daha ucuzdur. LELE, SE'ye göre ekstra adımlar gerektirse de, enerji tüketiminin yanı sıra EUV sistem bakımı ile DUV sistem bakımının da dikkate alınması gerekir. DUV LELE, EUV SE'nin yarısı kadar enerji kullanır, DUV SADP yaklaşık 2/3'tür ve hatta DUV LE4 bile EUV SE için enerjinin %85'inden azını kullanır [14].

Tüm bunlar, gelişmiş düğümlere geçişin, DUV veya EUV seçimine bakılmaksızın artan maliyetlerle karşı karşıya kalmayı gerektirdiğini vurgulamaya hizmet ediyor.

Referanslar

[1] https://www.techinsights.com/blog/techinsights-finds-smic-7nm-n2-huawei-mate-60-pro

[2] Q. Wu ve diğerleri, CSTIC 2023.

[3] Y. Drissi ve diğerleri, Proc. SPIE 10962, 109620V (2019).

[4] R. Venkatesan ve diğerleri, Proc. SPIE 12292, 1229202 (2022).

[5] S. Snyder ve diğerleri, 2021 EUVL Çalıştayı, https://www.euvlitho.com/2021/P2.pdf

[6] F. Chen, EUV Litografisinde Yüksek NA Düşük NA'dan Daha İyi Değilken, 2023, https://www.youtube.com/watch?v=10K5i4QdLBU

[7] S. Sakhare ve diğerleri, Proc. SPIE 9427, 94270O (2015).

[8] L. Meli ve diğerleri, J. Micro/Nanolith. MEMS MOEMS 18, 011006 (2019).

[9] D. De Simone ve G. Vandenberghe, Proc. SPIE 10957, 109570Q (2019).

[10] A. Narasimhan ve diğerleri, Proc. SPIE 9422, 942208 (2015).

[11] I. Bespalov ve diğerleri, ACS Başvurusu. Anne. Arayüzler 12, 9881 (2020).

[12] F. Chen, İkincil Elektron Bulanıklığı ile EUV Stokastik Kusurlarının Modellenmesi, https://www.linkedin.com/pulse/modeling-euv-stochastic-defects-secondary-electron-blur-chen

[13] M. Ulitschka ve diğerleri, J. Europ. Opsiyonel Sos. – Hızlı Pub. 17:1 (2021).

[14] Los Angeles. Ragnarsson ve diğerleri, 2022 Electron Dev. Teknik. Manuf., 82 (2022).

Bu makale ilk olarak LinkedIn Pulse'ta yayınlandı: DUV Çoklu Modellemenin 3 nm'ye Doğru Uzatılması

Ayrıca Oku:

DUV Kimyasal Olarak Güçlendirilmiş Dirençlerde Asit Difüzyonu için Stokastik Model

Yeni Extreme UV Fotorezist Malzemelerle Yarı İletken Süreçlerinin Geliştirilmesi

İkincil Elektron Bulanıklığı ile EUV Stokastik Kusurlarının Modellenmesi

Bu gönderiyi şu yolla paylaş:

spot_img

En Son İstihbarat

spot_img