Zephyrnet-logo

Uiterst selectieve ets komt uit voor next-gen chips

Datum:

Verschillende etsleveranciers beginnen selectieve etstools van de volgende generatie te leveren, wat de weg vrijmaakt voor nieuwe geheugen- en logische apparaten.

Applied Materials was de eerste leverancier die in 2016 een selectief etssysteem van de volgende generatie op de markt bracht, ook wel hoogselectieve ets genoemd. Nu leveren Lam Research, TEL en anderen tools met zeer selectieve etsmogelijkheden, ter voorbereiding van futuristische apparaten zoals 3D DRAM en gate-all-round transistors.

Bij zeer selectief etsen verwijdert of etst een gespecialiseerd etsgereedschap materialen in kleine chipstructuren tijdens het IC-productieproces. Wat anders is in vergelijking met de huidige selectieve etsing - die al jaren bestaat - is de mogelijkheid om materialen in elke richting te verwijderen (isotroop) zonder de andere delen van het apparaat te beschadigen. In sommige gevallen kunnen zeer selectieve etsgereedschappen ook materialen in één richting verwijderen (anistroop). Sommige bestaande etstools kunnen tot op zekere hoogte selectieve etsen uitvoeren, maar ze hebben hier beperkte mogelijkheden en zijn niet in staat om de nieuwe apparaatstructuren op geavanceerde knooppunten te creëren.


Fig. 1: Overgang van finFET naar GAA zorgt voor kritische isotrope selectieve etsvereisten. Bron: Lam Research

Etsen, dat al tientallen jaren wordt gebruikt, is een essentieel proces in de fab. In een eenvoudige processtroom deponeert een systeem siliciumdioxidematerialen op een wafel. Een lithografiesysteem patroont vervolgens kleine kenmerken op de materialen voor elke matrijs op de wafer, en het etsgereedschap verwijdert ongewenste materialen op elke matrijs om verschillende structuren te creëren met als doel precisie op angstrom-niveau (1Å = 0.1 nm).


Fig. 2: Algemene processtappen voor patroonvorming en etsen in een fab. Bron: Wikipedia

Kortom, een geavanceerd etsgereedschap is een op zichzelf staand systeem met een kamer. Tijdens bedrijf wordt een wafel in de kamer gestoken. Bij één type ets wordt plasma - dat een geïoniseerd gas is - in de kamer gegenereerd. “Allereerst maken we een plasma. Elektronen raken gasmoleculen. Dat creëert ionen en meer elektronen. Ze creëren ook vrije radicalen. De radicalen worden de soort die het chemisch etsen uitvoert in een plasma-etssysteem. Deze vrije radicalen diffunderen naar het wafeloppervlak. Het kan reageren met het ene materiaal, maar niet met het andere. Eindelijk heb je een ets. Het resultaat is een isotroop proces. Met de juiste chemie kan het zeer selectief zijn”, legt Chris Mack, CTO van Fractilia, uit in een videopresentatie. Kortom, een vrije radicaal is een atoom, molecuul of ion.

Niet alle chipprocessen vereisen zeer selectieve etsen. Bij chipproductie zijn veel etsstappen eenvoudig en maken ze gebruik van bestaande etstools. Voor veeleisendere chipprocessen bieden etsleveranciers verschillende opties met meer geavanceerde tools. Zeer selectief etsen is zo'n optie. Met behulp van gepatenteerde chemicaliën verwijdert een etstool met deze mogelijkheden gerichte materialen zonder de omringende lagen te wijzigen of te verwijderen.

Dit proces lijkt op atomic layer etch (ALE), maar is in veel opzichten anders. Kortom, ALE verwijdert selectief gerichte materialen op atomaire schaal. “In ALE probeer je één atomaire laag per keer te verwijderen. Je hebt extreme uniformiteit en je hoeft niet de hele film te verwijderen”, zegt Dan Hutcheson, vice-voorzitter van TechInsights. “Bij selectief etsen hamer je op de film tot alles op is. Het voordeel van selectief etsen is dat je veel sneller kunt gaan. Een sleutel daartoe is dat je niet kunt beschadigen wat eronder of eromheen is.

Bij selectief etsen zijn ook andere factoren betrokken. "Als je etst, is selectiviteit per definitie de verhouding tussen wat je probeert te verwijderen en wat je niet wilt verwijderen," zei Hutcheson.

In een voorbeeld deponeert een chipmaker een materiaal van siliciumdioxide op een substraat. De chipmaker wil een select deel van dat materiaal in het midden van het apparaat houden, maar de rest graag weghalen. Om dat te bereiken wordt op de middelste gedeelten een fotoresistmaskermateriaal aangebracht.

Tijdens het etsproces bombarderen etsmiddelen (plasma, gas/damp, zuren) in de kamer de wafel. De etssoort reageert langzamer op het maskermateriaal, maar reageert sneller met en verwijdert het blootgestelde siliciumdioxide.

Selectiviteit verwijst naar het verschil in reactiviteit tussen het blootgestelde materiaal en zijn onderlaag of het blootgestelde materiaal en het aangrenzende materiaal. Anders gezegd, selectiviteit is de verhouding van etssnelheden tussen twee materialen, volgens apparatuurmaker Corial, een Plasma-Therm Company.

“Selectief etsen verwijst naar het proces van het verwijderen van materiaal met extreme selectiviteit bij >1000:1 en weinig materiaalverlies: <2Å of één monolaag van atomen. Om dat in context te plaatsen: de normale selectiviteit voor etsen ligt in het bereik van 20:1”, zegt Ian Latchford, directeur productmarketing bij Lam Research.

Voor een groeiend aantal toepassingen zijn echter zeer selectieve etsen vereist. Al deze vereisen gespecialiseerde en dure selectieve etsgereedschappen met complexe chemie.

Etsmodi
In totaal groeide de wereldwijde etsmarkt volgens TechInsights van $ 14 miljard in 2020 tot $ 19.9 miljard in 2021. Volgens het bedrijf zal de etsmarkt de komende vijf jaar naar verwachting jaarlijks met 7% groeien. AMEC, Applied Materials, Hitachi, Lam, Plasma-Therm en TEL behoren tot de spelers in de etsbranche.

In de begindagen van de IC-industrie bouwden chipmakers hun eigen apparatuur. In die tijd werden etsprocessen uitgevoerd in gootstenen onder zuurkasten, volgens historische documenten van VLSI Research, nu onderdeel van TechInsights. Kortom, wafels werden ondergedompeld in een gootsteen gevuld met chemische etsmiddelen en vervolgens gespoeld. Dit verwijderde de materialen op de wafer.

Aan het eind van de jaren zestig voerde Signetics, een inmiddels ter ziele gegane chipmaker, het vroegste werk uit op het gebied van plasma-etsen. Tegen de jaren zeventig waren er verschillende verkopers van commerciële etsapparatuur ontstaan.

Al vroeg veranderde de etstechnologie in twee segmenten: nat etsen en droog etsen. In een systeem verwijdert nat etsen materialen door wafels onder te dompelen in vloeibare oplossingen.

Dry etch, de grootste van de twee markten, wordt veel gebruikt voor de productie van hedendaagse chips. Droog etsen is opgesplitst in drie segmenten of modi: plasma-ets, reactief ionen-etsen (RIE) en sputter-etsen (ook bekend als ionenstraal-etsen). Elke modus wordt gebruikt voor verschillende toepassingen.

Technisch gezien is selectief etsen eerder een toepassing dan een aparte categorie. Het past onder zowel de nat- als droogetscategorieën. In alle gevallen is het doel om nauwkeurige etsen met goede uniformiteit op de wafer uit te voeren.

Sputter- of ionenstraaletsen is een fysiek proces. In bedrijf wordt een wafer in een systeem gestoken. Het gereedschap genereert versneld ionen, waardoor materialen in spanen worden verwijderd.

RIE, ontwikkeld in de jaren 1970, is een plasmaproces dat veel wordt gebruikt in de hedendaagse chips. Tijdens bedrijf worden ionen gegenereerd in een systeem, dat vervolgens het oppervlak van de wafer bombardeert. Dit verwijdert op zijn beurt materialen in chips.

Plasma-etsen is daarentegen anders. "In dit systeem is de eerste stap het creëren van een plasma met hoge dichtheid, dat bestaat uit veel elektronen, ionen en neutralen met verschillende reactiviteiten", zegt Philippe Bézard, een R&D-ingenieur voor etsen bij Imec. "Vervolgens filter je de ionen eruit met een ionenfilter (visualiseer een plaat met kleine gaatjes erdoor) of met een grotere gasdruk naar de wafel om de tijd de ionen te laten neutraliseren."

De resterende radicalen diffunderen over het wafeloppervlak en worden vervolgens geabsorbeerd. "Er wordt een reactie geactiveerd tussen de atomen van het substraat en andere moleculen uit de gasfase om vluchtige moleculen te vormen," zei Bézard.

Elke etsmodus heeft verschillende attributen, zoals selectiviteit en directionaliteit. Directionaliteit omvat anisotroop en isotroop etsen.

"(Bij sputteretsen) kunnen we een hoge anisotropie krijgen, maar geen hoge selectiviteit", legt Mack van Fractilia uit. "RIE kan een goede selectiviteit, hoge anisotropie en matige etssnelheden produceren. Controle is soms moeilijk.”

Soms hebben chipmakers meer unidirectionele en selectieve etsen nodig. Dat is waar plasma-ets om de hoek komt kijken. "Over het algemeen is dit proces isotroop met potentieel hoge selectiviteit," zei Mack. "Om meer selectiviteit te krijgen, hebben we chemie nodig."


Fig. 3: Isotrope of multidirectionele ets (boven) vs. anisotrope of directionele ets (onder) Bron: Wikipedia

ALE versus selectieve ets
Voor veel geavanceerde chips is zeer selectieve etsing vereist. In de loop der jaren heeft de halfgeleiderindustrie nieuwe en complexe apparaten ontwikkeld voor zowel geheugen als logica.

Vanaf 2011 begonnen sommige gieterijleveranciers geavanceerde processen aan te bieden met behulp van ultramoderne finFET-transistoren. IC-leveranciers hebben chips ontwikkeld rond finFET's. Tegenwoordig verzenden gieterijklanten chips met behulp van finFET's op de 16nm/14nm-, 7nm- en 5nm-procesknooppunten. 3nm finFET's zijn in R&D.

Bovendien zullen sommige gieterijen bij 3nm en/of 2nm migreren naar gate-all-around (GAA), wat snellere transistors zijn die minder stroom verbruiken dan finFET's. Maar GAA FET's zijn ook duurder en moeilijker te fabriceren.

Tegelijkertijd ontwikkelen geheugenmakers meer geavanceerde 3D NAND, DRAM's en verschillende geheugentypes van de volgende generatie.

Deze apparaten vormen aanzienlijke fabricage-uitdagingen voor apparaatmakers, wat van invloed is op de ontwikkeling van meer geavanceerde processen en hulpmiddelen. Voor geavanceerde transistors en de nieuwste DRAM's gebruiken chipmakers extreem ultraviolette (EUV) lithografie, een 13.5 nm golflengtesysteem dat wordt gebruikt om minuscule kenmerken in chips te modelleren.

Leveranciers van depositie- en etsgereedschappen staan ​​ook voor verschillende uitdagingen. "Er zijn veel procesuitdagingen", zei Robert Clark, senior lid van de technische staf bij TEL, tijdens een presentatie op de recente IEDM-conferentie. “Elke generatie leidt tot steeds hogere beeldverhoudingen waar we mee te maken hebben. Dat zorgt voor allerlei etsproblemen. Je hebt ook problemen met depositie. Je hebt conformiteit, holtes en naden in je verklaringen. Je hebt buig-, buig-, belastings- en selectiviteitsproblemen bij het etsen.”

Gelukkig hebben etsleveranciers verschillende nieuwe mogelijkheden ontwikkeld om deze uitdagingen aan te gaan. ALE en zeer selectieve etsen behoren tot de innovaties.

Na jaren in R&D, introduceerden etsleveranciers medio 2010 ALE-processen. ALE verwijdert selectief gerichte materialen op atomaire schaal.

In een voorbeeld van ALE bevindt een wafer zich in een kamer in een ALE-systeem. De eerste stap is het injecteren van chloorgas op een siliciumoppervlak in de kamer. De chloormoleculen worden aan het oppervlak geabsorbeerd, waardoor het oppervlak wordt gewijzigd. Vervolgens worden argonionen in de kamer geïnjecteerd, die het oppervlak bombarderen en de gemodificeerde laag verwijderen.

Er zijn twee soorten ALE: plasma en thermisch. Plasma ALE, dat in productie is, maakt anisotrope etsen mogelijk. Thermal ALE, dat nog steeds wortel schiet, gebruikt thermische reacties voor isotrope etsen.

"Plasma of thermische ALE hebben meer te maken met extreme controle van het etsfront dan met algemene selectiviteit", zegt Bézard van imec. “Soms is het beter dan conventioneel plasma-etsen, soms is het slechter. Maar de selectiviteit is veel lager dan wat nodig is en wordt bereikt voor zeer selectieve etsen.”

Bovendien is ALE traag en heeft het beperkte isotrope mogelijkheden. En in sommige gevallen kan ALE minimale schade aan constructies veroorzaken.

Zeer selectief etsen is anders. “Zeer selectief etsen kan een etsmethode op zich zijn. Zeer selectief etsen is een technologie die toepassingen mogelijk maakt waarbij selectiviteit de belangrijkste specificatie is”, zegt Bézard van Imec.

Bij de chipproductie wordt al jaren selectief etsen gebruikt, maar de technologie was beperkt. "Vóór de introductie van de vroege selectieve etssystemen, gebruikten chipmakers die isotroop etsen wilden nat etsen," zei Lam's Latchford. "Maar nat etsen is extreem beperkt in termen van precisie, controle en materialen, en is gewoon niet in staat om de nieuwe apparaatstructuren te creëren die chipmakers nodig hebben om te blijven evolueren naar kleinere knooppunten."

In de loop van de tijd ontwikkelde de industrie selectieve etsprocessen met behulp van droog etsen. Tegenwoordig bieden Applied, Lam, TEL en anderen tools met selectieve etsmogelijkheden van de volgende generatie. Leveranciers gebruiken verschillende namen voor hetzelfde proces. Sommigen noemen het extreem selectief etsen, zeer selectief etsen of precisie-selectief etsen. Maar ze gebruiken allemaal speciale kamers om zeer selectief te etsen met behulp van gepatenteerde chemicaliën. De kamers zijn opgenomen op standaard etsplatforms.

Voor zijn selectieve etssysteem gebruikt Applied een tweestapsbenadering om isotrope etsen mogelijk te maken. Eerst wordt het oppervlak behandeld. Vervolgens worden radicalen gegenereerd, waardoor de beoogde materialen worden verwijderd.

“De radicale aanpak geeft selectief extreem hoog. Het kan het ene materiaal etsen en een ander oppervlak niet raken”, legt Uday Mitra, een vice-president bij Applied Materials, uit in een interview in 2017.

Lam heeft ondertussen onlangs drie selectieve etsproducten geïntroduceerd: Argos, Prevos en Selis. Met behulp van nieuwe chemicaliën levert Prevos selectieve etsen voor oxide, silicium en metaal. Selis maakt gebruik van radicale en thermische etsmogelijkheden voor selectief etsen. En Argos modificeert en ontsmet selectief wafeloppervlakken.

Zeer selectieve ets kan worden gebruikt in zowel anisotrope als isotrope toepassingen voor geheugen- en logische apparaten. Elke toepassing kan ook een andere selectiviteit hebben.

"Het hangt allemaal af van de toepassing en het type ets dat wordt gebruikt," zei Imec's Bézard. "Voor plasma-etsen zou een selectiviteit van 50:1 tussen verschillende polymeren als zeer hoog worden beschouwd. Het is meestal <10:1. Dat zou als zeer laag worden beschouwd bij het etsen van siliciumselectiviteit tot oxide met behulp van bijvoorbeeld cyclische processen (meestal >300:1).

Alle processen zijn uitdagend, ongeacht de toepassing. “Er is een generieke ets, die een zekere selectiviteit heeft. En als je dan aan selectief etsen komt, heb je het over meerdere ordes van grootte van grotere selectiviteit', zei Hutcheson van TechInsights. “Als je naar zoiets als een puur selectieve ets gaat, komt het veel dichter bij een puur chemisch proces. Maar nu moet je bedenken hoe je de chemie kunt laten werken. U wilt een beetje overetsen, zodat u zeker weet dat u al het materiaal verwijdert. Maar je kunt niet te ver gaan of je gaat beginnen met het verwijderen van de onderliggende materialen. Hoe meer u de selectiviteit kunt verhogen, hoe meer u ervoor kunt zorgen dat u een uniforme ets over een wafer van 300 mm krijgt. We hebben het over angstroms waarmee we te maken hebben, in termen van onze precisie die over een water van 300 mm moet zijn. De precisie is fenomenaal.”

De apps
Er zijn verschillende toepassingen voor zeer selectieve ets. Zelf-uitgelijnde contacten worden bijvoorbeeld gevormd met behulp van anisotrope, zeer selectieve etsing. In chips zijn contacten kleine structuren die de transistor verbinden met de eerste laag koperverbindingen in apparaten.

Ondertussen presenteerden TEL en Imec in 2020 een paper over een isotroop plasmavrij proces voor het trimmen van silicium. Kortom, het etsgereedschap snijdt een film of materiaal af om de gewenste vorm van de structuur te vormen. Dit proces kan worden gebruikt voor finFET's en GAA.

Andere selectieve etsprocessen worden gebruikt in GAA. Op de 3nm- en/of 2nm-procesknooppunten zullen toonaangevende gieterijen en hun klanten uiteindelijk migreren naar een GAA-transistortype dat een nanosheet-FET wordt genoemd. Een nanosheet-FET is een finFET die 90 graden is gedraaid, wat resulteert in horizontaal gestapelde vinnen met een verticaal poortmateriaal tussen elke vin. Elke vin, die op een laken lijkt, is een kanaal.

Om nanosheets in de fab te maken, deponeert een epitaxiaal hulpmiddel ultradunne, afwisselende lagen van silicium-germanium (SiGe) en silicium op een substraat, waardoor een superroosterstructuur wordt gevormd. Deze structuur kan drie, vijf of meer lagen van elk materiaal hebben.

Kleine verticale vinnen zijn van een patroon voorzien en geëtst in de superroosterstructuur. Vervolgens worden binnenste afstandhouders gevormd. Hiervoor worden de buitenste delen van SiGe-lagen in de superroosterstructuur verzonken en vervolgens gevuld met diëlektrisch materiaal.

"De binnenste afstandsmodule biedt controle over de effectieve lengte van de poort en isoleert ook de poort van de bron/afvoer-epi", zegt Andrew Cross, directeur procesbesturingsoplossingen bij KLA. "Bij elk van deze stappen in de vorming van de binnenste spacer is nauwkeurige controle van de vorm en CD van de inkepingen en de uiteindelijke uitsparing van de spacer van cruciaal belang om de juiste prestaties van het apparaat te garanderen."

Vervolgens wordt de source/drain gevormd. Vervolgens worden de SiGe-lagen in de superroosterstructuur verwijderd, waardoor op silicium gebaseerde lagen of vellen achterblijven die de kanalen vormen.

"Kanaalvrijgave vereist individuele controle van plaathoogte, hoekerosie en kanaalbuiging", zegt Scott Hoover, senior directeur strategische programma's bij Onto Innovation.

Ten slotte wordt een poort gevormd door het afzetten van een hoog-k diëlektricum en metalen poortmaterialen. Elke stap brengt enkele uitdagingen met zich mee, met name de processen voor het vrijgeven van de binnenste spacer en kanaal.

"Voor elk van deze cruciale stappen in de fabricage van nanosheet-apparaten is het essentieel om een ​​zeer selectief etsproces in de gasfase toe te passen", zegt Nicolas Loubet, senior technisch staflid bij IBM Research. "Wat betreft de kanaalafgifte, is een hoge> 150:1 SiGe versus Si-etsselectiviteit vereist om verlies van nanosheet-siliciumkanaal te voorkomen, wat zou kunnen leiden tot verslechtering van de mobiliteit, hoge kanaalweerstand en een grote variabiliteit tussen de apparaten met verschillende nanosheetbreedtes. Het etsproces moet de SiGe ook volledig etsen in kleine holtes met een gecontroleerde etssnelheid en mag niet zelflimiterend worden.”

In een recent artikel demonstreerden IBM en TEL een laterale droge etstechniek voor GAA met behulp van nieuwe chemicaliën, waardoor een selectiviteit van> 150:1 mogelijk werd.

Lam heeft ondertussen ook een zeer selectief etsproces ontwikkeld voor de GAA-binnenafstandhouder en loslaatstappen. Voor de gootuitsparing, bijvoorbeeld, combineert Lam zijn nieuwe Prevos- en Selos-gereedschappen.

"In GAA-structuren wordt alleen de SiGe-materiaallaag verwijderd, terwijl elk ander onderdeel van een apparaat relatief onaangeroerd blijft. Met deze mogelijkheid kunnen chipmakers nanoschaalkenmerken boetseren die precisie op angstrom-niveau vereisen om te voorkomen dat andere kritische materiaallagen tijdens het etsproces worden verwijderd, gewijzigd of beschadigd, "zei Lam's Latchford.

Ongeacht de leverancier van het gereedschap, het is een moeilijk proces. "De uitdaging is niet zozeer het vinden van de chemie", zegt Imec's Bézard. "Het krijgt ook exact dezelfde selectiviteit voor elke nanosheet, omdat de onderste meer van de interactie van het etsgas met het substraat zal zien dan de bovenste. Je kunt dus heel lokale verschillen hebben. Dat is waar we magie nodig hebben. We moeten ervoor zorgen dat elke nanosheet hetzelfde ziet als de andere.”


Fig. 4: Lam's Selis-Prevos-systeem etst SiGe/Si-stacks in nanosheet-FET's en andere processen. Bron: Lam Research

Toekomstige apparaten
Zeer selectieve etsing is ook vereist voor toekomstige apparaten zoals complementaire FET's (CFET's), een 3D-gestapeld logisch apparaat. CFET's zijn momenteel in O&O.

Geheugen is een andere toepassing. Tegenwoordig duwen geheugenmakers de volgende fase van DRAM-schaling in, maar ze worden geconfronteerd met verschillende uitdagingen nu de technologie zijn fysieke limiet nadert.

Als reactie daarop werken geheugenmakers aan 3D DRAM's, die in veel opzichten lijken op 3D NAND. 3D DRAM's zijn enkele jaren verwijderd van massaproductie. "In 3D DRAM lost de grote ontwerpwijziging veel van de schaalproblemen in de vlakke ontwerpen op, en creëert tegelijkertijd een grote behoefte aan laterale selectieve etsen", zei Lam's Latchford.

Conclusie
Om zeker te zijn, werkt de industrie aan een reeks toekomstige apparaten. Chipmakers hebben meer geavanceerde tools nodig in verschillende segmenten, zoals depositie, inspectie, lithografie en metrologie.

Zeer selectief etsen is een belangrijke aanvulling op de mix van gereedschappen. "Deze oplossingen stellen chipmakers in staat om steeds krachtigere en complexere chips te maken die reken- en prestatie-intensieve technologieën kunnen ondersteunen, zoals zelfrijdende voertuigen, geavanceerde digitale gezondheidszorg en de aanstaande metaverse," zei Latchford.

Verwante Verhalen

Atomic Layer Etch breidt uit naar nieuwe markten
https://semiengineering.com/atomic-layer-etch-expands-to-new-markets/

Nieuwe transistorstructuren op 3 nm / 2 nm
https://semiengineering.com/new-transistor-structures-at-3nm-2nm/

Transistors bereiken omslagpunt bij 3 nm
https://semiengineering.com/transistors-reach-tipping-point-at-3nm/

De post Uiterst selectieve ets komt uit voor next-gen chips verscheen eerst op Semiconductor Engineering.

spot_img

Laatste intelligentie

spot_img

Chat met ons

Hallo daar! Hoe kan ik u helpen?