ゼファーネットのロゴ

新しい ECO 製品 – Synopsys PrimeClosure

日付:

EDA の新製品の発売は常にエキサイティングな時期です。 シノプシス PrimeClosure. IC 設計の物理的な実装段階では、タイミング クロージャに到達するという大きな課題があります。高度なノードでは、タイミング、リーク電力、動的電力、面積など、考慮すべき物理的影響が増えるため、ECO の反復回数が高くなります。金属、静的 IR ドロップ、動的 IR ドロップ、堅牢性、エージング、プロセス変動、3DIC。

ECO タイプ

P&R、STA、および ECO ツール間の反復ループは、違反の数を減らすために非常に面倒になっているため、新しい ECO ツールは高速かつ正確でなければなりません。

違反カウント、PrimeClosure
違反数

6月2020で シノプシスがドラドを買収、ECO Tweaker ツールは非常に確立されており、 2011年以来のTSMC.

シノプシス PrimeClosure

Synopsys PrimeTime バックボーン上に構築された新しいツール、Synopsys PrimeClosure は、Synopsys PrimeTime® ECO、Synopsys PrimeECO、Synopsys Tweaker ECO から確立された ECO テクノロジーを、PPA を最適化するためのさらに多くの新しい変換と統合します。

Synos​​ys PrimeClosure 分
シノプシス PrimeClosure

Synopsys PrimeClosure の内部には、PPA (電力、パフォーマンス、面積) の最適化、実行時間の短縮、所有コストの削減、収束フルフローの提供、および既存の Synopsys ツールからの移行の容易さを目的とした多くの機能があります。

PrimeClosure 機能 最小 1
Synopsys PrimeClosure の機能

以前のツール フローでは、エキスパート ユーザーが手動で収束させるのに 7 ~ 8 週間かかる場合がありましたが、AI 主導の方法論と新しい物理コップの最適化を使用することで、一晩で結果を期待できるようになりました。

統合されたコックピットは、エンジニアがこの XNUMX つの UI からすべてのツールを実行できることを意味します。 、Ansys RedHawk SC。 Cadence や Siemens の Synopsys PrimeClosure など、他の業界標準の P&R ツールを使用することもできます。 Synopsys PrimeClosure からの出力は、標準の Tcl ファイルです。

P&R ツールを実行している場合、タイミング エンジンが組み込まれていますが、通常、実行するには 8 ~ 12 個のコアが必要です。 したがって、10nm ノードで 5 コーナーでサインオフ タイミング/ECO を実行するには、コーナーあたり 40 コアで 10 コア、次に 50 コアのマスター マシン、つまり合計で約 50 コアが必要です。 XNUMX 人の設計者が XNUMX 個のブロックを同時に実行すると、ライセンスを使いすぎて費用対効果が低くなります。

シノプシスの PrimeClosure は 28 台のボックスでも動作し、30 コアのみを使用して数十億のインスタンスを持つ 30 つの設計を完成させます。 XNUMX の実験を実行したい場合は、それぞれ数十のコアを備えた XNUMX 台のマシンを使用して、翌日に結果を得ることができます。 PrimeClosure ツール フローの繰り返しが少なくなり、貴重な時間を節約できます。

PrimeClosure の新しいテクノロジーには、次のものが含まれます。

  • レーザー PPA
    • LIVE Synopsys PrimeTime 最適化による正確な QoR のサインオフ
    • ブロックおよびインターフェイス パスのクロック ECO
    • 高度な電力最適化
    • AI 主導のラストマイル クロージャー
    • エージング、堅牢性、ダイナミック IR ドロップ、エリアおよびポスト マスク
  • P&R 収束
    • 高度なモデリング
    • ワイヤーの共同最適化
    • 配置の共同最適化
  • 大規模設計向けのパフォーマンスと TAT
    • シームレスなデータフロー、ギガチップ階層型シングルボックス TAT
    • 統合コックピット、GUI、エンドツーエンド フロー
    • 高性能と容量のオプション
    • Smart Pruner、Adaptive Learning3DIC 論理的および物理的

階層的 ECO フローでは、デザインは自動的に小さなブロックに分割され、ブロックは個別に処理できます。 結果までの時間 (TTR) は最大 10 分の 3、ディスク メモリは 10 分の 1,000、ハードウェア リソースは 40 分の 60 に短縮されました。 設計に数千のシナリオと数百の階層ブロックがある場合、スマート Pruner テクノロジは TAT を XNUMX% 改善し、メモリを XNUMX% 削減します。

Synopsys は、RedHawk-SC との緊密な統合を実現することで Ansys と提携し、タイミングを意識した IR-ECO を作成しました。 このフローの利点は、チップのタイミングを変更することなく、後期段階の動的電圧降下違反の最大半分を修正できることです。

RedHawk SC 分
Synopsys PrimeClosure と RedHawk-SC

HSPICE を使用した Synopsys PrimeShield からの信頼性分析も、Synopsys PrimeClosure と統合されており、堅牢性、電圧、寄生変動、エージング分析が可能です。

プライムシールド分
Synopsys PrimeShield および Synopsys PrimeClosure

Synopsys Tweaker ECO の GUI が Synopsys PrimeShield で拡張され、多くのビューとマップが視覚化され、エンジニアが違反をより迅速に修正し、ECO プロセスを高速化するのに役立ちます。

統合 GUI コックピット分
統合 GUI コックピット

まとめ

新しい EDA ツール プライムクロージャー シノプシスの は現在、シノプシスの PrimeTime ゴールデン サインオフ相関を提供しており、一種のゴールデン サインオフ ECO ツールを作成しています。 最大 45% のタイミング改善、10% の消費電力の改善、最大 50% の反復削減が期待でき、生産性が最大 10 倍向上します。 AI がこのフローに適用され、ラスト マイルの設計完了ステップを支援します。

関連ブログ

この投稿を共有する:

スポット画像

最新のインテリジェンス

スポット画像

私たちとチャット

やあ! どんな御用でしょうか?