जेफिरनेट लोगो

चिपलेट्स सुपरकंप्यूटर रेस में प्रवेश करते हैं

दिनांक:

विभिन्न राष्ट्रों की कई संस्थाएं चिपलेट-आधारित एक्सास्केल सुपर कंप्यूटरों को वितरित करने और तैनात करने के लिए एक-दूसरे से दौड़ रही हैं, सिस्टम का एक नया वर्ग जो आज के सुपर कंप्यूटरों की तुलना में 1,000 गुना तेज है।

नवीनतम एक्सास्केल सुपरकंप्यूटर सीपीयू और जीपीयू डिजाइन मिक्स एंड मैच कॉम्प्लेक्स उन्नत पैकेजों में मर जाते हैं, सुपर कंप्यूटर के लिए लचीलेपन और अनुकूलन का एक नया स्तर जोड़ते हैं। वर्षों से, विभिन्न राष्ट्र इस स्थान में नेतृत्व की स्थिति के लिए होड़ कर रहे हैं, ऐसे लाभ जो सिर्फ सुपर कंप्यूटर से परे हैं। ये बड़ी और महंगी प्रणालियाँ AI, जीव विज्ञान, रक्षा, ऊर्जा और विज्ञान में जबरदस्त सफलता का मार्ग प्रशस्त करती हैं।

आज के सुपर कंप्यूटर, साथ ही नए एक्सस्केल सिस्टम, पारंपरिक कंप्यूटिंग के सिद्धांतों पर आधारित हैं, जो क्वांटम कंप्यूटर से बिल्कुल अलग है। पारंपरिक कंप्यूटिंग में, जानकारी को बिट्स में संग्रहीत किया जाता है, जो या तो शून्य या एक हो सकता है। क्वांटम कंप्यूटिंग में, जानकारी को क्वांटम बिट्स या क्वैबिट में संग्रहीत किया जाता है, जो शून्य, एक या दोनों के संयोजन के रूप में मौजूद हो सकता है। सुपरपोजिशन स्थिति क्वांटम कंप्यूटर को पारंपरिक प्रणालियों से बेहतर प्रदर्शन करने में सक्षम बनाती है, लेकिन क्वांटम सिस्टम अभी भी व्यावहारिक होने से दूर हैं।

अत्याधुनिक पारंपरिक सुपर कंप्यूटर 1 क्वाड्रिलियन से अधिक प्रदर्शन कर सकते हैं (1015) फ्लोटिंग-पॉइंट ऑपरेशंस प्रति सेकेंड (पेटाफ्लॉप्स या पीफ्लॉप/एस)। आज, रिकेन और फुजित्सु द्वारा निर्मित एक सुपरकंप्यूटर, फुगाकू, दुनिया का सबसे तेज सिस्टम है, जिसमें उच्च-प्रदर्शन लिनपैक (एचपीएल) बेंचमार्क स्कोर 442 पीफ्लॉप/एस है। एचपीएल स्कोर कुछ रैखिक समीकरणों को हल करने में एक प्रणाली के प्रदर्शन को दर्शाता है। यह किसी सिस्टम के समग्र प्रदर्शन को नहीं दर्शाता है।

एक्सास्केल गति
इस बीच, चीन, यूरोप, जापान और संयुक्त राज्य अमेरिका की कई संस्थाएं एक्सास्केल-क्लास सुपर कंप्यूटर विकसित कर रही हैं, जो एक क्विंटल गणना करते हैं (1018) या अधिक प्रत्येक सेकंड (exaFLOPS या Eflop/s)।

हाल ही में, चीन में दो सुपर कंप्यूटरों ने Eflop/s बाधा को तोड़ने का दावा किया है, हालांकि वे परिणाम अभी भी निराधार हैं। और इस साल के अंत में, अमेरिका द्वारा अपना पहला एक्सास्केल सुपरकंप्यूटर, 1.5 एफ्लॉप/एस या फ्रंटियर नामक तेज प्रणाली तैनात करने की उम्मीद है। AMD के सर्वर प्रोसेसर और GPU त्वरक के आधार पर, फ्रंटियर ओक रिज नेशनल लेबोरेटरी में स्थित है।

अमेरिका औरोरा सहित दो अन्य एक्सास्केल सुपर कंप्यूटर भी विकसित कर रहा है, जिसे आर्गन नेशनल लेबोरेटरी में बनाया जा रहा है। Aurora को Intel के सर्वर प्रोसेसर और GPU के आसपास बनाया गया है।

वास्तु की दृष्टि से सभी सुपर कंप्यूटर एक जैसे होते हैं। इन प्रणालियों में कई रैक शामिल होते हैं, जिनमें से प्रत्येक में कई कंप्यूट नोड्स होते हैं। प्रत्येक कंप्यूट नोड में कई CPU और GPU होते हैं। परंपरागत रूप से, इनमें से कई चिप्स बड़े और जटिल सिस्टम-ऑन-ए-चिप (SoC) उपकरण थे, जहां सभी कार्यों को एक मोनोलिथिक डाई पर शामिल किया जाता है।

यह बदलने लगा है। कुछ, लेकिन सभी नहीं, एक्सास्केल सुपरकंप्यूटर एक चिपलेट दृष्टिकोण का उपयोग कर रहे हैं, विशेष रूप से यूएस-आधारित सिस्टम। एक SoC के बजाय, इन प्रणालियों में CPU और GPU में छोटे डाई या टाइल शामिल होते हैं, जिन्हें बाद में गढ़ा जाता है और उन्नत पैकेजों में पुन: एकत्रित किया जाता है। सीधे शब्दों में कहें, तो बड़े SoCs की तुलना में अधिक पैदावार के साथ छोटे डाई बनाना अपेक्षाकृत आसान है।

विशेष रूप से उच्च-प्रदर्शन कंप्यूटिंग (एचपीसी) में एक पैकेज में एकाधिक मृत्यु को शामिल करने का विचार नया नहीं है। “एक पैकेज में कई चिप्स डालने का विचार लंबे समय से है। आईबीएम ने अपने मेनफ्रेम के निर्माण के लिए 1980 के दशक की शुरुआत में एक मल्टी-चिप कैरियर का इस्तेमाल किया, "हाइपरियन रिसर्च में शोध के वरिष्ठ उपाध्यक्ष बॉब सोरेनसेन ने कहा। "तो सिद्धांत रूप में, चिपलेट केवल एक पैकेज में एकाधिक मरने का सबसे हालिया अवतार है। लेकिन चिपलेट एक एचपीसी डिजाइनर को प्रोसेसर बनाने की अनुमति दे सकता है जिसमें सटीक कम्प्यूटेशनल, मेमोरी और आई / ओ क्षमताएं हैं जो एचपीसी के अपेक्षित कार्यभार के लिए सबसे उपयुक्त हैं। ”

इस बाजार में कई बदलाव और घोषणाएं हैं। उनमें से:

  • चीन एक्सास्केल सुपर कंप्यूटर तैनात कर रहा है।
  • अमेरिका अपना पहला एक्सस्केल सिस्टम तैयार कर रहा है।
  • एएमडी और इंटेल ने एक्सस्केल युग के लिए अपने चिप्स के बारे में विवरण का खुलासा किया।
  • उद्योग ने एक पैकेज में चिपलेट को जोड़ने के लिए एक नया मानक जारी किया।

चित्र 1: इस वर्ष के अंत में परिनियोजन के लिए स्लेटेड, फ्रंटियर एक्सास्केल सुपरकंप्यूटर 1.5 Eflops/s प्रदर्शन को लक्षित करता है। स्रोत: ओक रिज राष्ट्रीय प्रयोगशाला

चित्र 1: इस वर्ष के अंत में परिनियोजन के लिए स्लेटेड, फ्रंटियर एक्सास्केल सुपरकंप्यूटर 1.5 Eflops/s प्रदर्शन को लक्षित करता है। स्रोत: ओक रिज राष्ट्रीय प्रयोगशाला

सुपरकंप्यूटर रेस
हाइपरियन रिसर्च के अनुसार, कुल मिलाकर, सुपरकंप्यूटर बाजार 6.6 में 2021 बिलियन डॉलर से बढ़कर 7.8 में 2022 बिलियन डॉलर होने का अनुमान है। हाइपरियन सुपरकंप्यूटर बाजार को तीन खंडों में विभाजित करता है- लीडरशिप/एक्सास्केल, लार्ज ($3 मिलियन और ऊपर प्रत्येक), और एंट्री-लेवल ($500,000 से $3 मिलियन)। प्रत्येक एक्सास्केल सिस्टम लगभग $600 मिलियन में बिकता है।

वर्षों से, सुपर कंप्यूटर का उपयोग कई अनुप्रयोगों के लिए किया जाता रहा है। "सुपरकंप्यूटिंग की आवश्यकता कई चीजों के लिए होती है, जिसमें मौसम की भविष्यवाणी जैसे बड़े पैमाने पर सिमुलेशन कार्य, क्रिप्टोकुरेंसी खनन जैसे बड़े अंकगणितीय कंप्यूटिंग कार्य, उपग्रह छवि प्रसंस्करण जैसे बड़े छवि प्रसंस्करण कार्य, और गहन शिक्षण प्रशिक्षण के लिए बड़े पैमाने पर तंत्रिका नेटवर्क कंप्यूटिंग शामिल हैं," अकी फुजीमुरा, सीईओ ने कहा D2S. "यह उलटा लिथोग्राफी प्रौद्योगिकियों, मुखौटा प्रक्रिया सुधार, मास्क और वेफर्स के सिमुलेशन-आधारित सत्यापन, और मुखौटा और वेफर निरीक्षण जैसी समस्याओं के लिए अर्धचालक निर्माण में बड़े पैमाने पर उपयोग किया जाता है।"

समयरेखा के रूप में देखे जाने पर, कंप्यूटिंग क्षेत्र ने भारी प्रगति की है। 1945 में, पेन्सिलवेनिया विश्वविद्यालय ने ENIAC, पहला सामान्य-उद्देश्य वाला इलेक्ट्रॉनिक डिजिटल कंप्यूटर विकसित किया। डेटा को संसाधित करने के लिए वैक्यूम ट्यूबों का उपयोग करते हुए, ENIAC ने प्रति सेकंड 5,000 अतिरिक्त जोड़े।

1950 के दशक की शुरुआत में, ट्रांजिस्टर ने कई प्रणालियों में वैक्यूम ट्यूबों को बदल दिया, जिससे तेज कंप्यूटर सक्षम हो गए। ट्रांजिस्टर, चिप्स में प्रमुख निर्माण खंड, उपकरणों में एक स्विच के रूप में काम करते हैं।

1964 में, अब-निष्क्रिय नियंत्रण डेटा ने दुनिया का पहला सुपर कंप्यूटर सीडीसी 6600 पेश किया। 6600 में 60 MIPS प्रदर्शन के साथ ट्रांजिस्टर का उपयोग करके 2-बिट प्रोसेसर शामिल किया गया था। तब से, सुपर कंप्यूटर कहीं अधिक शक्तिशाली हो गए हैं। साथ ही, विभिन्न राष्ट्र प्रदर्शन नेतृत्व की स्थिति के लिए एक-दूसरे से छलांग लगाते रहते हैं।

उदाहरण के लिए, 2008 में, IBM का रोडरनर 1.026 Pflop/s के प्रदर्शन के साथ दुनिया का सबसे तेज़ सुपरकंप्यूटर था। यह उपलब्धि हासिल करने वाला यह पहला सुपर कंप्यूटर बन गया है। फिर, 2010 में, चीन ने 1 पीफ्लॉप/एस के प्रदर्शन स्तर के साथ एक सुपरकंप्यूटर, तियानहे-2.57ए के साथ नेतृत्व की स्थिति में छलांग लगा दी।

2020 के बाद से, जापान के फुगाकू ने सुपरकंप्यूटिंग में नंबर 1 स्थान पर कब्जा कर लिया है। आईबीएम का शिखर सम्मेलन नंबर 2 स्थान रखता है और यूएस में सबसे तेज सुपरकंप्यूटर है

फुगाकू प्रणाली में कुल 158,976 आर्म प्रोसेसर कोर के लिए 7,630,848 कंप्यूट नोड्स हैं। "प्रत्येक नोड A64FX नामक एक प्रोसेसर से लैस है, जिसमें 48-कोर सामान्य प्रयोजन प्रोसेसर कोर और चार सहायक कोर होते हैं। A64FX एक 7nm प्रक्रिया के साथ निर्मित है," फुजित्सु/रिकेन के एक शोधकर्ता शुजी यामामुरा ने हाल ही में ISSCC कार्यक्रम में एक पेपर में कहा।

फुगाकू एक कस्टम-निर्मित एआरएम प्रोसेसर का उपयोग करता है। यह एक चिपलेट आर्किटेक्चर नहीं है। इसके विपरीत, चीन के सुपर कंप्यूटर कस्टम प्रोसेसर का उपयोग करते हैं। कई गैर-एक्सास्केल सुपरकंप्यूटर मर्चेंट चिप्स का उपयोग करते हैं।

"अधिक मुख्यधारा के एचपीसी क्षेत्र के लिए, हार्डवेयर निर्णय मुख्य रूप से अधिक मुख्यधारा के बड़े घटकों की उपलब्धता पर आधारित होते हैं," हाइपरियन के सोरेनसेन ने कहा। “इनमें Intel CPU, Nvidia GPU और InfiniBand इंटरकनेक्ट शामिल हो सकते हैं। उन्हें एचपीसी वर्कलोड पर्यावरण के लिए सबसे उपयुक्त होने के लिए कॉन्फ़िगर किया जा सकता है या बिजली के मुद्दों से निपटने के लिए कुछ आक्रामक पैकेजिंग और शीतलन क्षमताएं हो सकती हैं।

सीपीयू और जीपीयू दोनों एचपीसी में महत्वपूर्ण भूमिका निभाते हैं। "क्रमिक डेटा प्रोसेसिंग प्रकार की प्रोग्रामिंग के लिए, सीपीयू जीपीयू की तुलना में अधिक लागत प्रभावी होते हैं। लेकिन ऐसे कार्यों के लिए जो डेटा की किसी भी इकाई के लिए बहुत अधिक गणना करते हैं, GPU बहुत अधिक कुशल हो सकते हैं, खासकर यदि एक कंप्यूटिंग कार्य को एकल-निर्देश बहु-डेटा (SIMD) समस्या में डाला जा सकता है। यह वह जगह है जहां अधिकांश डेटा को समानांतर में संसाधित किया जाता है और अलग-अलग डेटा पर एक ही निर्देश में निष्पादित किया जाता है, "डी 2 एस 'फुजीमुरा ने कहा।

एक्सास्केल युग
आगे बढ़ते हुए, सुपरकंप्यूटिंग एक्सस्केल युग में प्रवेश कर रहा है, जो जीव विज्ञान, रक्षा, विज्ञान और अन्य क्षेत्रों में नई सफलताएं देने का वादा करता है।

एक्सास्केल सिस्टम विकसित करना महंगा है। हाइपरियन के सोरेनसेन ने कहा, "एक्सास्केल रेंज में, $ 500 मिलियन से अधिक एचपीसी के पास अपने कुल बजट का 20% से अधिक हो सकता है, जो कस्टम चिप्स, इंटरकनेक्ट्स और अन्य घटकों जैसी विशेष सुविधाओं के विकास के लिए समर्पित है।"

कई संस्थाएं एक्सस्केल सुपर कंप्यूटर विकसित कर रही हैं। ऐसा प्रतीत होता है कि चीन के पास एक संकीर्ण बढ़त है, जिसके बाद संयुक्त राज्य अमेरिका है। पैक के पीछे यूरोप है। इस साल की शुरुआत में, यूरोपियन हाई परफॉर्मेंस कंप्यूटिंग ज्वाइंट अंडरटेकिंग (यूरोएचपीसी) ने एक्सास्केल प्रोग्राम सहित कई नई परियोजनाएं शुरू कीं। यह स्पष्ट नहीं है कि यूरोपीय संघ कब एक प्रणाली शुरू करेगा।

हाइपरियन रिसर्च के अनुसार, चीन में तीन एक्सस्केल सुपर कंप्यूटर हैं, सनवे ओशनलाइट, तियानहे -3 और सुगॉन। वूशी में नेशनल सुपरकंप्यूटर सेंटर में स्थापित, सनवे ओशनलाइट 2021 में पूरा हुआ था। पिछले साल, शोधकर्ताओं ने चरम प्रदर्शन में 1.3 Eflop / s स्तर तक पहुंचने का दावा किया था। यह सिस्टम आंतरिक रूप से डिज़ाइन किए गए SW39010 CPU पर आधारित है। हाइपरियन के अनुसार, कुल मिलाकर, सिस्टम में 38 मिलियन से अधिक CPU कोर होते हैं।

पिछले साल के अंत में पूरा हुआ, Tianhe-3 ने 1.7 Eflop/s प्रदर्शन का प्रदर्शन किया है। इस बीच, सुगोन प्रणाली में देरी हुई है। चीन से किसी भी प्रदर्शन के परिणाम की पुष्टि नहीं हुई है।

जबकि चीन पारंपरिक कस्टम प्रोसेसर का उपयोग करता है, यूएस-आधारित एक्सास्केल सिस्टम एक और तरीका अपना रहे हैं। सीपीयू और जीपीयू चिपलेट का लाभ उठा रहे हैं, जहां आप मिक्स एंड मैच करते हैं और उन्हें पैकेज में इकट्ठा करते हैं।

आज तक, AMD, Intel, Marvell और अन्य विकसित हुए हैं चिपलेटआधारित डिजाइन, मुख्य रूप से सर्वर और अन्य उच्च अंत अनुप्रयोगों के लिए। अवधारणा सुपरकंप्यूटिंग के लिए भी आदर्श है।

"चिपलेट्स को कई अनुप्रयोगों में लागू किया जाएगा जो उनकी विशेषताओं से लाभान्वित होंगे, जिसमें महत्वपूर्ण आकार में कमी, कम बिजली की खपत और बेहतर उच्च गति प्रदर्शन शामिल हैं," प्रोमेक्स के अध्यक्ष और सीईओ रिचर्ड ओटे ने कहा, की मूल कंपनी। क्यूपी टेक्नोलॉजीज. "उदाहरण के लिए, DoD और DARPA अपनी प्रयोगशालाओं में सबसे तेज़ सुपरकंप्यूटर लाने के लिए काम कर रहे हैं, और चिपलेट इसे सक्षम करने में मदद करेंगे।"

आज, अमेरिका के पास काम में तीन एक्सस्केल सिस्टम हैं- ऑरोरा, एल कैपिटन और फ्रंटियर। 2022 के अंत में फ्रंटियर के संचालन में होने की उम्मीद है, इसके बाद 2023 में ऑरोरा और एल कैपिटन होंगे।

2019 में, यूएस डिपार्टमेंट ऑफ एनर्जी (डीओई) ने क्रे को ओक रिज नेशनल लैब्स में फ्रंटियर एक्सास्केल सुपरकंप्यूटर बनाने का ठेका दिया। 2019 में, क्रे को हेवलेट पैकर्ड एंटरप्राइज (HPE) द्वारा अधिग्रहित किया गया था।

एचपीई ने फ्रंटियर के लिए मंच बनाया, जो कई कंप्यूट नोड्स का समर्थन करता है। प्रत्येक कंप्यूट नोड AMD के सर्वर CPU में से एक और चार AMD GPU त्वरक का समर्थन करता है।

TSMC की 6nm प्रक्रिया के आधार पर, AMD के नए GPU त्वरक में दो डाई शामिल हैं, जिसमें कुल 58 बिलियन ट्रांजिस्टर शामिल हैं। वास्तुकला शिखर प्रदर्शन के 380 टेराफ्लॉप को पार करती है।

GPU आर्किटेक्चर को a . में शामिल किया गया है 2.5D एक मोड़ के साथ पैकेज। अधिकांश 2.5D/3D पैकेजों में, डाई को एक इंटरपोज़र के शीर्ष पर एक साथ रखा जाता है या एक साथ रखा जाता है, जिसमें शामिल होता है सिलिकॉन के माध्यम से (टीएसवी)। TSVs बोर्ड को मरने से विद्युत कनेक्शन प्रदान करते हैं।

"TSVs सक्षम करने वाली तकनीक हैं 3 डी-ICs, [प्रदान करना] स्टैक्ड चिप्स के बीच विद्युत कनेक्शन। टीएसवी के साथ 3डी-आईसी तकनीक का मुख्य लाभ यह है कि यह विभिन्न घटकों के बीच बहुत कम इंटरकनेक्शन प्रदान करता है, जिसके परिणामस्वरूप कम प्रतिरोधक-कैपेसिटिव देरी और छोटे डिवाइस पदचिह्न होते हैं, "ल्यूक हू ने कहा, एक शोधकर्ता यूएमसी, हाल के एक पेपर में।

अंजीर। 2: उच्च प्रदर्शन कम्प्यूट पैकेजिंग के लिए अलग-अलग विकल्प, सब्सट्रेट पर आधारित 2.5D बनाम फैन-आउट चिप (FOCoS)। स्रोत: ए.एस.ई.

अंजीर। 2: उच्च प्रदर्शन कम्प्यूट पैकेजिंग के लिए अलग-अलग विकल्प, सब्सट्रेट पर आधारित 2.5D बनाम फैन-आउट चिप (FOCoS)। स्रोत: ए.एस.ई.

2.5डी/3डी पैकेज में बीच में लानेवाला काम करता है, लेकिन संरचना पर जगह बर्बाद होती है। इसलिए कई कंपनियों ने एक वैकल्पिक दृष्टिकोण विकसित किया है जिसे a . कहा जाता है सिलिकॉन ब्रिज. ब्रिज रूटिंग लेयर्स के साथ सिलिकॉन का एक छोटा सा टुकड़ा होता है, जो पैकेज में एक चिप को दूसरे चिप से जोड़ता है। एक उदाहरण में, इंटेल ने एंबेडेड मल्टी-डाई इंटरकनेक्ट ब्रिज (ईएमआईबी) विकसित किया है, जो एक सिलिकॉन ब्रिज है जो आमतौर पर सब्सट्रेट में एम्बेडेड होता है।

इस बीच, एएमडी के जीपीयू में, कंपनी एक जीपीयू को ढेर कर देती है और उच्च बैंडविड्थ स्मृति (HBM) एक सिलिकॉन ब्रिज पर अगल-बगल। HBM मूल रूप से एक DRAM मेमोरी स्टैक है।

ईएमआईबी के विपरीत, जो सब्सट्रेट में एम्बेडेड है, एएमडी पुल को सब्सट्रेट के ऊपर रखता है। AMD इसे 2.5D एलिवेटेड फैनआउट ब्रिज (EFB) कहता है।

चित्र 3: सब्सट्रेट-आधारित ब्रिज बनाम AMD का 2.5D एलिवेटेड फैनआउट ब्रिज (EFB) स्रोत: AMD

चित्र 3: सब्सट्रेट-आधारित ब्रिज बनाम AMD का 2.5D एलिवेटेड फैनआउट ब्रिज (EFB) स्रोत: AMD

अन्य एक्सास्केल सुपर कंप्यूटर पर काम चल रहा है। कुछ समय पहले, लॉरेंस लिवरमोर नेशनल लेबोरेटरी, एचपीई और एएमडी ने एल कैपिटन की घोषणा की, जो एक एक्सास्केल सिस्टम है जो 2 एफ्लॉप / एस से अधिक होने की उम्मीद है। यह प्रणाली एएमडी के चिपलेट-आधारित सीपीयू और जीपीयू पर आधारित है।

2019 में, इस बीच, डीओई, इंटेल और एचपीई ने ऑरोरा बनाने की योजना की घोषणा की, एक 2 एफ्लॉप/एस सिस्टम। मूल रूप से, अरोरा को 2021 में Argonne तक पहुँचाए जाने की उम्मीद थी, लेकिन इंटेल में चिप देरी के कारण इसे बाहर कर दिया गया था।

ऑरोरा एचपीई के सुपरकंप्यूटर प्लेटफॉर्म पर आधारित है, जिसमें 9,000 से अधिक कंप्यूट नोड्स हैं। प्रत्येक नोड में इंटेल के दो सफायर रैपिड्स प्रोसेसर, इंटेल के छह GPU त्वरक (कोड-नाम पोंटे वेक्चिओ), और एक एकीकृत मेमोरी आर्किटेक्चर शामिल हैं। इसमें 10 पेटाबाइट (PB) मेमोरी और 230PB स्टोरेज शामिल है।

सफायर रैपिड्स एक अगली पीढ़ी का ज़ीऑन प्रोसेसर है, जिसमें एक पैकेज में 4 छोटे सीपीयू शामिल होते हैं। Intel की 7nm finFET प्रक्रिया के आधार पर, डाई EMIB का उपयोग करके जुड़े हुए हैं।

प्रोसेसर में 100MB से अधिक साझा L3 कैश, 8 DDR5 चैनल और 32GT/s PCIe/CXL लेन शामिल हैं। "नई तकनीकों में इंटेल एडवांस्ड मैट्रिक्स एक्सटेंशन्स (एएमएक्स), एआई वर्कलोड के त्वरण के लिए एक मैट्रिक्स गुणन क्षमता और नए और उभरते वर्कलोड को संबोधित करने के लिए नई वर्चुअलाइजेशन टेक्नोलॉजीज शामिल हैं," इंटेल के एक प्रमुख इंजीनियर नेविन नासिफ ने हाल ही में आईएसएससीसी में एक प्रस्तुति में कहा। प्रतिस्पर्धा।

ऑरोरा में, सीपीयू इंटेल के Xe-HPC माइक्रोआर्किटेक्चर पर आधारित GPU पोंटे वेक्चिओ के साथ काम करता है। इस जटिल उपकरण में एक पैकेज में पांच प्रक्रिया नोड्स पर 47 टाइलें शामिल हैं। कुल मिलाकर, डिवाइस में 100 बिलियन से अधिक ट्रांजिस्टर होते हैं।

मूल रूप से, पोंटे वेक्चिओ दो आधारों को एक सब्सट्रेट पर ढेर कर देता है। प्रत्येक बेस डाई पर, इंटेल एक मेमोरी फैब्रिक को स्टैक करता है, उसके बाद कंप्यूट और SRAM टाइल्स। डिवाइस में आठ HBM2E टाइलें भी हैं। मरने वालों को एक दूसरे के साथ संवाद करने में सक्षम बनाने के लिए, इंटेल एक मालिकाना डाई-टू-डाई लिंक का उपयोग करता है।

इंटेल की 7एनएम प्रक्रिया के आधार पर, दो बेस डाई जीपीयू के लिए एक संचार नेटवर्क प्रदान करते हैं। मरने वालों में मेमोरी कंट्रोलर, वोल्टेज रेगुलेटर, पावर मैनेजमेंट और 16 PCIe Gen5/CXL होस्ट इंटरफेस लेन शामिल हैं।

प्रत्येक बेस डाई पर, इंटेल 8 कंप्यूट टाइल्स और 4 एसआरएएम टाइल्स को ढेर करता है। कंप्यूट टाइलें TSMC की 5nm प्रक्रिया पर आधारित हैं, जबकि SRAM को Intel की 7nm तकनीक के आसपास बनाया गया है।

कुल मिलाकर, डिवाइस में 16 कंप्यूट टाइलें और 8 SRAM टाइलें शामिल हैं। प्रत्येक गणना टाइल में 8 कोर होते हैं। "प्रत्येक कोर में 8 वेक्टर इंजन होते हैं, जो 512-बिट फ्लोटिंग-पॉइंट / इंटीजर ऑपरेंड को संसाधित करते हैं, और 8-डीप सिस्टोलिक सरणी के साथ 8 मैट्रिक्स इंजन 4096-बिट वेक्टर ऑपरेशन निष्पादित करते हैं," एक इंटेल फेलो विल्फ्रेड गोम्स ने एक पेपर में कहा। आईएसएससीसी।

बिजली वितरण के लिए, इंटेल तथाकथित पूरी तरह से एकीकृत वोल्टेज नियामकों (एफआईवीआर) को आधार पर लागू करता है। गोम्स ने कहा, "बेस डाई पर FIVR 300V आपूर्ति में प्रति बेस डाई 0.7W तक बचाता है।" "3D-स्टैक्ड FIVRs कई वोल्टेज डोमेन पर उच्च-बैंडविड्थ के बारीक नियंत्रण को सक्षम करते हैं और इनपुट करंट को कम करते हैं।"

उन्नत पैकेजिंग में थर्मल प्रबंधन एक महत्वपूर्ण चुनौती है। इस समस्या को हल करने के लिए, इंटेल GPU पर हीट स्प्रेडर रखता है। फिर, शीर्ष पर एक थर्मल इंटरफ़ेस सामग्री (टीआईएम) लागू होती है।

"टीआईएम थर्मल प्रतिरोध को कम करने के लिए अलग-अलग डाई स्टैक हाइट्स के कारण हवा के अंतराल को समाप्त करता है। 47 कार्यात्मक टाइलों के अलावा, 16 अतिरिक्त थर्मल शील्ड हैं जो गर्मी का संचालन करने के लिए उजागर बेस डाई क्षेत्र पर एक थर्मल समाधान प्रदान करने के लिए स्टैक्ड हैं, ”गोम्स ने कहा।

चिपलेट कैसे विकसित करें
सुपरकंप्यूटिंग चिपलेट के लिए कई अनुप्रयोगों में से एक है। हाल ही में, कई विक्रेताओं ने सर्वर के लिए चिपलेट जैसे डिज़ाइन विकसित किए हैं। भविष्य के चिपलेट आर्किटेक्चर पर काम चल रहा है।

चिपलेट जैसी डिज़ाइन विकसित करना आकर्षक है, लेकिन इसमें कई चुनौतियाँ हैं। चिपसेट विकसित करने के लिए संसाधनों और कई तत्वों की आवश्यकता होती है।

जैसा कि कहा गया है, चिपलेट में, एक बड़े SoC को डिजाइन करने के बजाय, आप जमीन से ऊपर की ओर छोटे डाई का उपयोग करके एक चिप डिजाइन करते हैं। फिर, आप डाई बनाते हैं और उन्हें एक पैकेज में फिर से इकट्ठा करते हैं। इसके साथ जुड़े कई डिजाइन विचार हैं।

"एक मायने में, इस तरह के उन्नत पैकेज या उन्नत उत्पाद के लिए उच्च-घनत्व वाले इंटरकनेक्ट की आवश्यकता होती है," चून ली, मुख्य प्रौद्योगिकी अधिकारी ने कहा जेसीईटी. "तो उस संदर्भ में, पैकेजिंग ही अब इनकैप्सुलेशन वाले पैकेज में केवल एक डाई नहीं है। अधिक उन्नत पैकेजिंग में, आपको लेआउट, चिप और पैकेज के साथ बातचीत और इन परतों को कैसे रूट करना है, इसके बारे में सोचना होगा। सवाल यह है कि आप पैकेज में इष्टतम प्रदर्शन या अधिकतम प्रदर्शन प्राप्त करने के लिए वास्तव में लेआउट का अनुकूलन कैसे करते हैं।"

यही एकमात्र मुद्दा नहीं है। पैकेज में, कुछ मर जाते हैं। अन्य की मृत्यु पैकेज में कहीं और रहती है। तो आपको डाई-टू-डाई इंटरकनेक्ट्स का उपयोग करके एक डाई को दूसरे से जोड़ने का एक तरीका चाहिए।

आज के चिपलेट जैसे डिज़ाइन मालिकाना बसों और इंटरफेस का उपयोग करके डाई को जोड़ते हैं, जो प्रौद्योगिकी को अपनाने को सीमित कर रहा है। कई संगठन खुली बसों और इंटरफेस मानकों पर काम कर रहे हैं।

नवीनतम प्रयास में, एएसई, एएमडी, आर्म, गूगल, इंटेल, मेटा, माइक्रोसॉफ्ट, क्वालकॉम, सैमसंग और टीएसएमसी ने हाल ही में एक संघ का गठन किया है जो एक चिपलेट-सक्षम डाई-टू-डाई इंटरकनेक्ट मानक स्थापित कर रहा है। समूह ने यूसीआईई विनिर्देश की भी पुष्टि की, जो पैकेज स्तर पर एक खुला उद्योग इंटरकनेक्ट मानक है। UCIe 1.0 विनिर्देश में डाई-टू-डाई I/O भौतिक परत, डाई-टू-डाई प्रोटोकॉल और सॉफ़्टवेयर स्टैक शामिल हैं।

इंजीनियरिंग और तकनीकी निदेशक लिहोंग काओ ने कहा, "चिपलेट्स की उम्र वास्तव में आ गई है, उद्योग को सिलिकॉन-केंद्रित सोच से सिस्टम-स्तरीय योजना तक विकसित करने और आईसी और पैकेज के सह-डिजाइन पर महत्वपूर्ण ध्यान केंद्रित करने के लिए प्रेरित कर रहा है।" पर विपणन एएसई. "हमें विश्वास है कि यूसीआईई एक बहु-विक्रेता पारिस्थितिकी तंत्र के भीतर विभिन्न आईपी के बीच इंटरफेस के लिए खुले मानकों के माध्यम से विकास के समय और लागत को कम करके पारिस्थितिकी तंत्र की क्षमता को सक्षम करने में एक महत्वपूर्ण भूमिका निभाएगा, साथ ही उन्नत पैकेज-स्तरीय इंटरकनेक्ट का उपयोग भी करेगा।"

यह सभी समस्याओं का समाधान नहीं है। सभी पैकेजों में थर्मल बजट बड़ी चिंता का विषय है। "पावर अपव्यय और बिजली का उपयोग बड़ी चुनौतियां हैं," उन्नत पैकेजिंग विकास और एकीकरण के उपाध्यक्ष माइकल केली ने कहा आमकोर. “पैकेज स्तर पर एकीकरण के कारण यह पैकेजिंग उद्योग में घर पर दस्तक दे रहा है। दुर्भाग्य से, सिलिकॉन बहुत अधिक व्यर्थ गर्मी उत्पन्न करता है। यह ऊष्मीय रूप से कुशल नहीं है। आपको कहीं न कहीं गर्मी डंप करने की जरूरत है। हमें अंतिम उत्पाद में थर्मल अपव्यय करने वाले किसी भी व्यक्ति के लिए जितना संभव हो उतना थर्मल रूप से कुशल बनाना होगा, चाहे वह फोन के मामले में हो या डेटा सेंटर में वाटर कूलर। हमें एक उच्च-प्रदर्शन पैकेज में कितना वास्तविक विद्युत प्रवाह देना है, यह भी दिलचस्प हो रहा है। बिजली कम नहीं हो रही है, लेकिन वोल्टेज कम हो रहा है। उतनी ही कुल शक्ति या अधिक शक्ति देने के लिए हमारी धाराएँ ऊपर जा रही हैं। इलेक्ट्रोमाइग्रेशन जैसी चीजों को संबोधित करने की जरूरत है। हमें शायद पैकेज में अधिक वोल्टेज रूपांतरण और वोल्टेज विनियमन की आवश्यकता होगी। इस तरह हम पैकेज में उच्च वोल्टेज ला सकते हैं और फिर उन्हें कम वोल्टेज में अलग कर सकते हैं। इसका मतलब है कि हमें पैकेज में ज्यादा से ज्यादा करंट खींचने की जरूरत नहीं है। तो सत्ता हमें दो तरह से मार रही है। यह गर्मी है, लेकिन यह बिजली वितरण नेटवर्क को विद्युत रूप से भी प्रबंधित कर रहा है। यह पैकेज में अधिक सामग्री को मजबूर कर रहा है, जबकि थर्मल पावर अपव्यय पर भी अपना सर्वश्रेष्ठ प्रदर्शन कर रहा है। ”

निष्कर्ष
स्पष्ट रूप से, चिपलेट एक सक्षम तकनीक का निर्माण करते हैं और वे सर्वर डिज़ाइन में अपना रास्ता बना रहे हैं। हाल ही में, Apple ने एक चिपलेट-जैसे प्रोसेसर डिज़ाइन वाला मैक डेस्कटॉप पेश किया। अब चिपलेट-आधारित एक्सास्केल सुपरकंप्यूटर दृश्य में हैं।

एक्सास्केल सुपरकंप्यूटर के लिए, फ्रंटियर, एल कैपिटन और ऑरोरा सिस्टम के लिए चिपलेट-आधारित दृष्टिकोण का उपयोग किया जा रहा है। फुगाकू और सनवे ओशनलाइट जैसे अन्य, पारंपरिक एसओसी-आधारित दृष्टिकोण का पालन करना जारी रखते हैं। दोनों तरीके काम करते हैं। चलो दौड़ शुरू करते हैं।

संबंधित कहानियां
ग्रेट क्वांटम कंप्यूटिंग रेस
कंपनियां और देश अलग-अलग qubit तकनीकों में अरबों डॉलर डाल रहे हैं, लेकिन विजेता की भविष्यवाणी करना अभी भी जल्दबाजी होगी।

नेक्स्ट-जेन 3डी चिप/पैकेजिंग रेस शुरू
हाइब्रिड बॉन्डिंग पैकेजिंग में प्रदर्शन के नए स्तर को खोलती है, लेकिन यह एकमात्र सुधार नहीं है।

एक साथ टुकड़े टुकड़े करना
परिवर्तन जो इस पैकेजिंग दृष्टिकोण को मुख्यधारा और आगे की चुनौतियों में धकेल सकते हैं।

उन्नत पैकेजिंग की अगली लहर
विकल्पों की एक लंबी सूची मल्टी-चिप पैकेजों को डिज़ाइन के मामले में सबसे आगे ले जा रही है, जबकि विकल्पों और ट्रेडऑफ़ की एक चक्करदार संख्या बना रही है

पोस्ट चिपलेट्स सुपरकंप्यूटर रेस में प्रवेश करते हैं पर पहली बार दिखाई दिया सेमीकंडक्टर इंजीनियरिंग.

स्पॉट_आईएमजी

नवीनतम खुफिया

स्पॉट_आईएमजी